Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Gluttonous-Snake Download
 Description: Verilog language to write a game in which can run on the FPGA.
 Downloaders recently: [More information of uploader 张旭]
 To Search:
File list (Check if you may need any files):
 

贪吃蛇
......\Greedy_snake
......\............\Apple_generate_module.v
......\............\apple_generate_module.v.bak
......\............\end_top.v
......\............\end_top.v.bak
......\............\end_Vga_control.v
......\............\end_vga_control.v.bak
......\............\end_Vga_sync.v
......\............\ex5_image_256x256_rom.mif
......\............\ex5_over_256x256_rom.mif
......\............\ex5_over_rom.qip
......\............\ex5_over_rom.v
......\............\ex5_over_rom_bb.v
......\............\ex5_over_rom_inst.v
......\............\ex5_start_rom.qip
......\............\ex5_start_rom.v
......\............\ex5_start_rom_bb.v
......\............\ex5_start_rom_inst.v
......\............\Game_ctrl_module.v
......\............\game_ctrl_module.v.bak
......\............\Greedy_snake.asm.rpt
......\............\Greedy_snake.cdf
......\............\Greedy_snake.done
......\............\Greedy_snake.eda.rpt
......\............\Greedy_snake.fit.rpt
......\............\Greedy_snake.fit.smsg
......\............\Greedy_snake.fit.summary
......\............\Greedy_snake.flow.rpt
......\............\Greedy_snake.map.rpt
......\............\Greedy_snake.map.smsg
......\............\Greedy_snake.map.summary
......\............\Greedy_snake.pin
......\............\Greedy_snake.pof
......\............\Greedy_snake.qpf
......\............\Greedy_snake.qsf
......\............\Greedy_snake.qws
......\............\Greedy_snake.sdc
......\............\Greedy_snake.sof
......\............\Greedy_snake.sta.rpt
......\............\Greedy_snake.sta.summary
......\............\Greedy_snake.v
......\............\greedy_snake.v.bak
......\............\Greedy_snake_assignment_defaults.qdf
......\............\Greedy_snake_module.v.bak
......\............\Greedy_snake_nativelink_simulation.rpt
......\............\greybox_tmp
......\............\...........\cbx_args.txt
......\............\incremental_db
......\............\..............\compiled_partitions
......\............\..............\...................\Greedy_snake.db_info
......\............\..............\...................\Greedy_snake.root_partition.cmp.cdb
......\............\..............\...................\Greedy_snake.root_partition.cmp.dfp
......\............\..............\...................\Greedy_snake.root_partition.cmp.hdb
......\............\..............\...................\Greedy_snake.root_partition.cmp.kpt
......\............\..............\...................\Greedy_snake.root_partition.cmp.logdb
......\............\..............\...................\Greedy_snake.root_partition.cmp.rcfdb
......\............\..............\...................\Greedy_snake.root_partition.map.cdb
......\............\..............\...................\Greedy_snake.root_partition.map.dpi
......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.cdb
......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.hb_info
......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.hdb
......\............\..............\...................\Greedy_snake.root_partition.map.hbdb.sig
......\............\..............\...................\Greedy_snake.root_partition.map.hdb
......\............\..............\...................\Greedy_snake.root_partition.map.kpt
......\............\..............\README
......\............\Key_check_module.v
......\............\key_check_module.v.bak
......\............\pll.v
......\............\pll_bb.v
......\............\pll_inst.v
......\............\simulation
......\............\..........\modelsim
......\............\..........\........\Greedy_snake.sft
......\............\..........\........\Greedy_snake.vo
......\............\..........\........\Greedy_snake_fast.vo
......\............\..........\........\Greedy_snake_modelsim.xrf
......\............\..........\........\Greedy_snake_run_msim_rtl_verilog.do
......\............\..........\........\Greedy_snake_v.sdo
......\............\..........\........\Greedy_snake_v_fast.sdo
......\........

CodeBus www.codebus.net