Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: LED Download
 Description: LED light water program, can realize frequency water, Ann 50 points frequency value of the file containing the necessary. V file, need to create your own specific project
 Downloaders recently: [More information of uploader mikegody]
 To Search:
File list (Check if you may need any files):
 

freq12.v
tb.v
top.v
LED.v
    

CodeBus www.codebus.net