Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Verilog-Accumulator Download
 Description: the folder contains two files written by Verilog HDL. the first one is an implementation of an accumulator that takes serial data as an input, and its output will be an accumulated sum of each consecutive four input samples. the second file is a test bench for the first file to test its operation
 Downloaders recently: [More information of uploader sawsan]
 To Search:
File list (Check if you may need any files):
 

Verilog Accumulator\Accum.v
...................\Accum_tb.v
Verilog Accumulator
    

CodeBus www.codebus.net