Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: DE2_115_NIOS_HOST_MOUSE_VGA Download
 Description: DE2-115 development board VGA verilog HDL design
 Downloaders recently: [More information of uploader 张海军]
 To Search:
File list (Check if you may need any files):
 

DE2_115_NIOS_HOST_MOUSE_VGA\DE2_115_NIOS_HOST_MOUSE_VGA.done
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.dpf
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.fit.smsg
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.fit.summary
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.jdi
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.map.smsg
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.map.summary
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.pin
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.pof
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.qpf
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.qsf
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.qws
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.sof
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.sta.summary
...........................\DE2_115_NIOS_HOST_MOUSE_VGA.v
...........................\DE2_115_NIOS_HOST_MOUSE_VGA_assignment_defaults.qdf
...........................\DE2_115_NIOS_HOST_MOUSE_VGA_description.txt
...........................\DE2_115_SOPC.bsf
...........................\DE2_115_SOPC.html
...........................\DE2_115_SOPC.ptf
...........................\DE2_115_SOPC.ptf.8.0
...........................\DE2_115_SOPC.ptf.pre_generation_ptf
...........................\DE2_115_SOPC.qip
...........................\DE2_115_SOPC.sopc
...........................\DE2_115_SOPC.sopcinfo
...........................\DE2_115_SOPC.v
...........................\DE2_115_SOPC_clock_0.v
...........................\DE2_115_SOPC_clock_1.v
...........................\DE2_115_SOPC_clock_2.v
...........................\DE2_115_SOPC_generation_script
...........................\DE2_115_SOPC_inst.v
...........................\DE2_115_SOPC_log.txt
...........................\DE2_115_SOPC_temp.ptf.8.0
...........................\DE2_115_SOPC_temp.v
...........................\PLLJ_PLLSPE_INFO.txt
...........................\altpll.v
...........................\altpllpll.ppf
...........................\altpllpll.qip
...........................\altpllpll.v
...........................\altpllpll_0.ppf
...........................\altpllpll_0.qip
...........................\altpllpll_0.v
...........................\altpllpll_0_bb.v
...........................\altpllpll_bb.v
...........................\audio.v
...........................\clock_crossing_io.v
...........................\cpu.ocp
...........................\cpu.sdc
...........................\cpu.v
...........................\cpu_bht_ram.mif
...........................\cpu_dc_tag_ram.mif
...........................\cpu_ic_tag_ram.mif
...........................\cpu_jtag_debug_module_sysclk.v
...........................\cpu_jtag_debug_module_tck.v
...........................\cpu_jtag_debug_module_wrapper.v
...........................\cpu_mult_cell.v
...........................\cpu_oci_test_bench.v
...........................\cpu_ociram_default_contents.mif
...........................\cpu_rf_ram_a.mif
...........................\cpu_rf_ram_b.mif
...........................\cpu_test_bench.v
...........................\de2_115_golden_sopc.sdc
...........................\eep_i2c_scl.v
...........................\eep_i2c_sda.v
...........................\i2c_scl.v
...........................\i2c_sda.v
...........................\ir.v
...........................\jtag_uart.v
...........................\key.v
...........................\lcd.v
...........................\ledg.v
...........................\ledr.v
...........................\onchip_mem.hex
...........................\onchip_mem.v
...........................\onchip_memory2.hex
...........................\onchip_memory2.v
...........................\pll.sdc
...........................\pll.v
...........................\rs232.v
...........................\sd_clk.v
...........................\sd_cmd.v
...........................\sd_dat.v
...........................\sd_wp_n.v
...........................\sdram.v
...........................\sdram_test_component.v
...

CodeBus www.codebus.net