Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: FIFO Download
 Description: Eight fifo based on FPGA 1 s sent 10 8 bits of data, USES is verilog programming language, introduction, convenient for you to learn
 Downloaders recently: [More information of uploader 西大楼107]
 To Search:
File list (Check if you may need any files):
 

8位fifo 1s发送10个8位数据
.........................\fifo
.........................\....\datagene.v
.........................\....\datagene.v.bak
.........................\....\db
.........................\....\..\a_dpfifo_li21.tdf
.........................\....\..\a_fefifo_18e.tdf
.........................\....\..\altsyncram_8sj1.tdf
.........................\....\..\altsyncram_amc1.tdf
.........................\....\..\altsyncram_um14.tdf
.........................\....\..\cmpr_5cc.tdf
.........................\....\..\cmpr_8cc.tdf
.........................\....\..\cntr_02j.tdf
.........................\....\..\cntr_dai.tdf
.........................\....\..\cntr_gui.tdf
.........................\....\..\cntr_hjb.tdf
.........................\....\..\cntr_sbi.tdf
.........................\....\..\cntr_tj7.tdf
.........................\....\..\decode_rqf.tdf
.........................\....\..\dpram_0u01.tdf
.........................\....\..\fifo_top.amm.cdb
.........................\....\..\fifo_top.asm.qmsg
.........................\....\..\fifo_top.asm.rdb
.........................\....\..\fifo_top.asm_labs.ddb
.........................\....\..\fifo_top.cbx.xml
.........................\....\..\fifo_top.cmp.bpm
.........................\....\..\fifo_top.cmp.cdb
.........................\....\..\fifo_top.cmp.hdb
.........................\....\..\fifo_top.cmp.kpt
.........................\....\..\fifo_top.cmp.logdb
.........................\....\..\fifo_top.cmp.rdb
.........................\....\..\fifo_top.cmp0.ddb
.........................\....\..\fifo_top.cmp1.ddb
.........................\....\..\fifo_top.cmp2.ddb
.........................\....\..\fifo_top.cmp_merge.kpt
.........................\....\..\fifo_top.db_info
.........................\....\..\fifo_top.eda.qmsg
.........................\....\..\fifo_top.fit.qmsg
.........................\....\..\fifo_top.hier_info
.........................\....\..\fifo_top.hif
.........................\....\..\fifo_top.idb.cdb
.........................\....\..\fifo_top.lpc.html
.........................\....\..\fifo_top.lpc.rdb
.........................\....\..\fifo_top.lpc.txt
.........................\....\..\fifo_top.map.bpm
.........................\....\..\fifo_top.map.cdb
.........................\....\..\fifo_top.map.hdb
.........................\....\..\fifo_top.map.kpt
.........................\....\..\fifo_top.map.logdb
.........................\....\..\fifo_top.map.qmsg
.........................\....\..\fifo_top.map_bb.cdb
.........................\....\..\fifo_top.map_bb.hdb
.........................\....\..\fifo_top.map_bb.logdb
.........................\....\..\fifo_top.pre_map.cdb
.........................\....\..\fifo_top.pre_map.hdb
.........................\....\..\fifo_top.rtlv.hdb
.........................\....\..\fifo_top.rtlv_sg.cdb
.........................\....\..\fifo_top.rtlv_sg_swap.cdb
.........................\....\..\fifo_top.sgdiff.cdb
.........................\....\..\fifo_top.sgdiff.hdb
.........................\....\..\fifo_top.sld_design_entry.sci
.........................\....\..\fifo_top.sld_design_entry_dsc.sci
.........................\....\..\fifo_top.smart_action.txt
.........................\....\..\fifo_top.sta.qmsg
.........................\....\..\fifo_top.sta.rdb
.........................\....\..\fifo_top.sta_cmp.8_slow.tdb
.........................\....\..\fifo_top.syn_hier_info
.........................\....\..\fifo_top.tis_db_list.ddb
.........................\....\..\logic_util_heursitic.dat
.........................\....\..\mux_aoc.tdf
.........................\....\..\prev_cmp_fifo_top.qmsg
.........................\....\..\scfifo_ec21.tdf
.........................\....\fifo.qip
.........................\....\fifo.v
.........................\....\fifo_bb.v
.........................\....\fifo_inst.v
.........................\....\fifo_top.asm.rpt
.........................\....\fifo_top.cdf
.........................\....\fifo_top.done
.........................\....\fifo_top.eda.rpt
.........................\....\fifo_top.fi

CodeBus www.codebus.net