Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: 61EDA_C2303 Download
 Description: using verilog to achieve FFt
 To Search:
File list (Check if you may need any files):
OFDM系统中FFT的VerilogHDL语言实现\fftshixian\.recordref
.................................\..........\.untf
.................................\..........\automake.log
.................................\..........\bitgen.ut
.................................\..........\buffer.edn
.................................\..........\buffer.fse
.................................\..........\buffer.htm
.................................\..........\buffer.ncf
.................................\..........\buffer.prj
.................................\..........\buffer.sdc
.................................\..........\buffer.srd
.................................\..........\buffer.srm
.................................\..........\buffer.srr
.................................\..........\buffer.srs
.................................\..........\buffer.tlg
.................................\..........\buffer.v
.................................\..........\buffer_compile.tcl
.................................\..........\buffer_map.tcl
.................................\..........\buffer_testbuf_v_tf.fdo
.................................\..........\buffer_testbuf_v_tf.udo
.................................\..........\control.v
.................................\..........\converse.v
.................................\..........\core.tpl
.................................\..........\coregen.log
.................................\..........\coregen.prj
.................................\..........\couter.v
.................................\..........\couter10_bit.v
.................................\..........\cs.coe
.................................\..........\csr.xco
.................................\..........\csr.xcp
.................................\..........\datah.coe
.................................\..........\datal.coe
.................................\..........\FFTshixian.dhp
.................................\..........\FFTshixian.npl
.................................\..........\fulram.ucf
.................................\..........\get.xco
.................................\..........\get.xcp
.................................\..........\get_cs.v
.................................\..........\get_ram.xco
.................................\..........\get_ram.xcp
.................................\..........\hjhj.xco
.................................\..........\hjhj.xcp
.................................\..........\hjj.asy
.................................\..........\hjj.coregen_log
.................................\..........\hjj.edn
.................................\..........\hjj.mif
.................................\..........\hjj.sym
.................................\..........\hjj.v
.................................\..........\hjj.veo
.................................\..........\hjj.vhd
.................................\..........\hjj.vho
.................................\..........\hjj.xco
.................................\..........\hjj.xcp
.................................\..........\hjj_flist.txt
.................................\..........\hjk.asy
.................................\..........\hjk.edn
.................................\..........\hjk.mif
.................................\..........\hjk.sym
.................................\..........\hjk.v
.................................\..........\hjk.veo
.................................\..........\hjk.vhd
.................................\..........\hjk.vho
.................................\..........\hjk.xco
.................................\..........\hjk.xcp
.................................\..........\hjk_flist.txt
.................................\..........\mul.asy
.................................\..........\mul.sym
.................................\..........\mul.v
.................................\..........\mul.veo
.................................\..........\mul.vhd
.................................\..........\mul.vho
.................................\..........\mul.xco
.................................\..........\mul.xcp
.................................\..........\mult.v
................

CodeBus www.codebus.net