Welcome![Sign In][Sign Up]
Location:
Downloads Documents Software Engineering
Title: xapp265 Download
 Description: High-Speed Data Serialization and Deserialization(840 Mb/s LVDS) for xilinx fpga
 Downloaders recently: [More information of uploader zalove79]
 To Search: lvds fpga LVDS xilinx fpga
  • [aes_core] - AES Advanced Encryption Algorithm Verilo
  • [fast-crc.tar] - A Verilog realize the CRC checksum for t
  • [io_lvds] - Based on the VHDL language, Low Voltage
  • [LVDS] - LVDS details on the presentations and di
  • [verilog_multicrc] - The document for a variety of different
  • [PCIExpress] - PCIE agreement, the English version. Abs
  • [VIDEO-FPGA] - Video Capture output examples
  • [xapp860] - 16-Channel, DDR LVDS Interface with Real
File list (Check if you may need any files):
7to1
....\basic_design
....\............\verilog
....\............\.......\constraints
....\............\.......\design_files
....\............\.......\simulation
....\............\vhdl
....\............\....\constraints
....\............\....\design_files
....\............\....\simulation
....\demo_board
....\..........\verilog
....\..........\.......\constraints
....\..........\.......\design_files
....\..........\.......\simulation
....\..........\vhdl
....\..........\....\constraints
....\..........\....\design_files
....\..........\....\simulation
8to1
....\basic_design_16bit
....\..................\example_ucf
....\..................\verilog
....\..................\.......\2v1000_ucf_synpl_leo
....\..................\.......\design_files
....\..................\.......\simulation
....\..................\vhdl
....\..................\....\2v1000_ucf_fpgax_xst
....\..................\....\2v1000_ucf_synpl_leo
....\..................\....\design_files
....\..................\....\simulation
....\basic_design_20bit
....\..................\example_ucf
....\..................\verilog
....\..................\.......\2v1000_ucf_synpl_leo
....\..................\.......\design_files
....\..................\.......\simulation
....\..................\vhdl
....\..................\....\2v1000_ucf_fpgax_xst
....\..................\....\2v1000_ucf_synpl_leo
....\..................\....\design_files
....\..................\....\simulation
....\basic_design_4bit
....\.................\example_ucf
....\.................\verilog
....\.................\.......\design_files
....\.................\.......\simulation
....\.................\.......\ucf_synpl_leo
....\.................\vhdl
....\.................\....\design_files
....\.................\....\simulation
....\.................\....\ucf_fpgax_xst
....\.................\....\ucf_synpl_leo
....\demo_board
....\..........\verilog
....\..........\.......\design_files
....\..........\.......\simulation
....\..........\.......\ucf_fpgax_xst
....\..........\.......\ucf_synpl_leo
....\..........\vhdl
....\..........\....\design_files
....\..........\....\simulation
....\..........\....\ucf_fpgax_xst
....\..........\....\ucf_synpl_leo
xapp265
.......\7to1
.......\....\basic_design
.......\....\............\README.TXT
.......\....\............\verilog
.......\....\............\.......\constraints
.......\....\............\.......\...........\top4_2v1000_fg456.ucf
.......\....\............\.......\...........\top8_2v1000_fg456.ucf
.......\....\............\.......\design_files
.......\....\............\.......\............\m2_1p.v
.......\....\............\.......\............\mux2_1.v
.......\....\............\.......\............\serdes_4b_1to7.v
.......\....\............\.......\............\serdes_4b_1to7_wrapper.v
.......\....\............\.......\............\serdes_4b_1to7_wrapper_286.v
.......\....\............\.......\............\serdes_4b_7to1.v
.......\....\............\.......\............\serdes_4b_7to1_wrapper.v
.......\....\............\.......\............\serdes_4b_7to1_wrapper_285.v
.......\....\............\.......\............\serdes_8b_1to7_wrapper.v
.......\....\............\.......\............\serdes_8b_1to7_wrapper_484.v
.......\....\............\.......\............\serdes_8b_7to1_wrapper.v
.......\....\............\.......\............\serdes_8b_7to1_wrapper_483.v
.......\....\............\.......\............\top4.v
.......\....\............\.......\............\top8.v
.......\....\............\.......\simulation
.......\....\............\.......\..........\tbtop4u.v
.......\....\............\.......\..........\tbtop8u.v
.......\....\............\.......\..........\TOP4U.DO
.......\....\............\.......\..........\TOP8U.DO
.......\....\............\vhdl
.......\....\............\....\constraints
.......\....\............\....\...........\top4_2v1000_fg456.ucf
.......\....\............\....\...........\top8_2v1000_fg456.ucf
.......\....\............\....\design_files
.......\....\............\....\............\m2_1p.vhd
.......\....\............\....\.....

CodeBus www.codebus.net