Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: stopwatch Download
 Description: The VHDL code for digital stopwatch. When the design document loaded into the target device, the designed digital stopwatch count the seconds from the 00-00-00. Until you press stop key (key switch S2). Nixie tube stop count seconds. Press the start button (key switch S1), the digital control continue to count seconds. Press the reset button (core panel reset button) to restart the stopwatch count seconds from the 00-00-00.
 Downloaders recently: [More information of uploader tang_yuer]
  • [byvhdstopwatchl] - 1. High-precision digital stopwatch (0.0
  • [miaobiao] - Realize stopwatch function. Can be accur
  • [stopwatch] - Stopwatch timer can be used to compile t
  • [stopwach] - vhdl stopwatch-quartus2
  • [eda] - Procedures for the preparation of a stop
  • [stopwatch] - On vhdl digital stopwatch, timing accura
File list (Check if you may need any files):
stopwatch.vhd
    

CodeBus www.codebus.net