Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop Other
Title: VHDL_NEXYS_Example41 Download
 Description: In this example we will interface the PS/2 port to a PS/2 keyboard, also known as an AT keyboard. The example will not apply to the newer USB keyboards, or to the older, obsolete XT keyboard. Keyboards contain their own microprocessors that continually scan the keys and then send the resulting key pressings to the host – in our case through the PS/2 port.
  • [examples of VHDL program] - these are typical program of VHDL.there
  • [USBcomm] - fpga usb interface system design example
  • [pc] - Keyboard and USB and PC-interface progra
  • [WORKS] - Project of Adquisition Data, show in VGA
  • [S7_PS2_LCD] - 1, ps/2 keyboard input, through the led
File list (Check if you may need any files):
VHDL_NEXYS_Example41.pdf
    

CodeBus www.codebus.net