Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: URAT_transmitter_receiver_VHDL Download
 Description: UART in VHDL-based procedures, including the top-level procedures, procedures for the baud rate generator, UART transmitter program, UART receiver program four part of the program. Detailed notes, and attached to each program a simulation waveform diagram, easy to understand and verify.
 To Search: uart
  • [vhdl] - Baud rate generator, a FPGA-based source
  • [UART] - The UART-based FPGA using VHDL Programmi
  • [UART] - UART is a widely used short-range, low-s
  • [UART-EDA] - This a very classic asynchronous transce
  • [uart16550_latest[1].tar] - Open-source UART IP core 16550, the IP c
  • [3970984GMSK_matlab] - hello please give me matlab codes
File list (Check if you may need any files):
URAT VHDL程序与仿真.doc
    

CodeBus www.codebus.net