Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: key Download
 Description: Keyboard application programme
 Downloaders recently: [More information of uploader along153]
 To Search:
  • [KEY-AND-LED-DISPLAY] - This procedure is the detection of matri
  • [ps_scan] - Achieved a confirmation of the keyboard
  • [ps2] - FPGA realization of ps2 keyboard, sparde
File list (Check if you may need any files):
main.v
TRAN_HEX_LED.v
v2_dcm.v
CLK_DIV.v
DEBOUNCE.v
KEY_SCAN.v
    

CodeBus www.codebus.net