Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop Other
Title: S7_PS2_RS232 Download
 Description: cpld verilog ps2 UART
 Downloaders recently: [More information of uploader wphyl]
 To Search: ps2 vhdl uart
File list (Check if you may need any files):
S7_PS2_RS232
............\Doc
............\...\ps2接口标准.pdf
............\...\说明.txt
............\Proj
............\....\cmp_state.ini
............\....\data_buf.bsf
............\....\data_buf.v
............\....\db
............\....\..\altsyncram_9l82.tdf
............\....\..\altsyncram_bl82.tdf
............\....\..\altsyncram_bo82.tdf
............\....\..\altsyncram_do82.tdf
............\....\..\altsyncram_fo82.tdf
............\....\..\altsyncram_ji82.tdf
............\....\..\altsyncram_vh92.tdf
............\....\..\cntr_1r9.tdf
............\....\..\cntr_419.tdf
............\....\..\cntr_4b7.tdf
............\....\..\cntr_618.tdf
............\....\..\cntr_918.tdf
............\....\..\cntr_929.tdf
............\....\..\cntr_av7.tdf
............\....\..\cntr_bv7.tdf
............\....\..\cntr_cn8.tdf
............\....\..\cntr_cv7.tdf
............\....\..\cntr_ea7.tdf
............\....\..\cntr_ev7.tdf
............\....\..\cntr_f29.tdf
............\....\..\cntr_gd8.tdf
............\....\..\cntr_ha7.tdf
............\....\..\cntr_ia7.tdf
............\....\..\cntr_ln7.tdf
............\....\..\cntr_nk7.tdf
............\....\..\cntr_no8.tdf
............\....\..\cntr_nt7.tdf
............\....\..\cntr_nv7.tdf
............\....\..\cntr_qu7.tdf
............\....\..\cntr_rv7.tdf
............\....\..\cntr_sb7.tdf
............\....\..\cntr_vt9.tdf
............\....\..\decode_9ie.tdf
............\....\..\KEYBAORD.smp_dump.txt
............\....\..\MOUSE.db_info
............\....\..\ps2_keyboard_interface.analyze_file.qmsg
............\....\..\ps2_keyboard_interface.asm.qmsg
............\....\..\ps2_keyboard_interface.cbx.xml
............\....\..\ps2_keyboard_interface.cmp.cdb
............\....\..\ps2_keyboard_interface.cmp.hdb
............\....\..\ps2_keyboard_interface.cmp.logdb
............\....\..\ps2_keyboard_interface.cmp.rdb
............\....\..\ps2_keyboard_interface.cmp.tdb
............\....\..\ps2_keyboard_interface.cmp0.ddb
............\....\..\ps2_keyboard_interface.dbp
............\....\..\ps2_keyboard_interface.db_info
............\....\..\ps2_keyboard_interface.eco.cdb
............\....\..\ps2_keyboard_interface.fit.qmsg
............\....\..\ps2_keyboard_interface.hier_info
............\....\..\ps2_keyboard_interface.hif
............\....\..\ps2_keyboard_interface.map.cdb
............\....\..\ps2_keyboard_interface.map.hdb
............\....\..\ps2_keyboard_interface.map.logdb
............\....\..\ps2_keyboard_interface.map.qmsg
............\....\..\ps2_keyboard_interface.pre_map.cdb
............\....\..\ps2_keyboard_interface.pre_map.hdb
............\....\..\ps2_keyboard_interface.psp
............\....\..\ps2_keyboard_interface.pss
............\....\..\ps2_keyboard_interface.rtlv.hdb
............\....\..\ps2_keyboard_interface.rtlv_sg.cdb
............\....\..\ps2_keyboard_interface.rtlv_sg_swap.cdb
............\....\..\ps2_keyboard_interface.sgdiff.cdb
............\....\..\ps2_keyboard_interface.sgdiff.hdb
............\....\..\ps2_keyboard_interface.signalprobe.cdb
............\....\..\ps2_keyboard_interface.sim.hdb
............\....\..\ps2_keyboard_interface.sim.qmsg
............\....\..\ps2_keyboard_interface.sld_design_entry.sci
............\....\..\ps2_keyboard_interface.sld_design_entry_dsc.sci
............\....\..\ps2_keyboard_interface.syn_hier_info
............\....\..\ps2_keyboard_interface.tan.qmsg
............\....\..\ps2_keyboard_interface_cmp.qrpt
............\....\..\ps2_mouse.db_info
............\....\..\ps2_mouse.eco.cdb
............\....\..\ps2_mouse_cmp.qrpt
............\....\div_256.bsf
............\....\div_256.v
............\....\div_4.bsf
............\....\div_4.v
............\....\KEYBAORD.qpf
............\....\KEYBAORD.qws
............\....\ps2_keyboard.bdf
............\....\ps2_keyboard.bsf
............\....\ps2_keyboard_interface.asm.rpt
............\....\ps2_keyboard_interface.bsf
............\....\ps2_keyboard_interface.cdf
............\....\ps2_keyboard_interface.done
............\....\ps2_keyboard_interface.dpf
............\....\ps2_keyboard_interface.fit.eqn
............\....\ps2_keyboard_in

CodeBus www.codebus.net