Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: FPGAQPSK Download
 Description: In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the correctness of the design.
 Downloaders recently: [More information of uploader 332112983]
 To Search: vhdl qpsk qpsk
  • [mpeg2TS_encoder] - MPEG2 TS (transport stream) source code
  • [PSK] - 8.9 PSK modulation and demodulation proc
  • [M_generate] - m sequence code generated, vhdl hardware
  • [1024QAM_FPGA] - 1024QAM modulation and demodulation syst
  • [QPSKvhdl] - QPSK modulation and demodulation of the
  • [QPSK_VHDL] - VHDL language QPSK modulation source mod
  • [107215798QPSK] - it is qpsk code in vhdl code
  • [cpsk] - VHDL hardware language using BPSK modula
  • [exp3] - Set the noise is Gaussian white noise, r
File list (Check if you may need any files):
基于FPGA的QPSK调制解调电路设计与实现.doc
    

CodeBus www.codebus.net