Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop GUI Develop Other
Title: CLOCK_co-design_of_C_and_Verilog Download
 Description: A clock writing by Verilog which can count from 00:00 to 23:59. With a C file to see the simulation results. A co-design example of C and Verilog.
 Downloaders recently: [More information of uploader anchao]
 To Search: verilog clock
File list (Check if you may need any files):

CodeBus www.codebus.net