Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop GUI Develop Other
Title: MEALY Download
  • Category:
  • Other systems
  • Tags:
  • File Size:
  • 29.17kb
  • Update:
  • 2008-10-13
  • Downloads:
  • 0 Times
  • Uploaded by:
  • fjgtxy
 Description: Mealy state machine output is now a function of state and input. In the SRAM controller state machine, the writing is not only effective WE and WRITE state, but also and bus-related WRITE_MASK command. In this way, WE output signal according to design requirements that the current state WRITE and is a function of state input WRITE_MASK. This procedure based on VHDL, development environment for MAXPLUS2
 Downloaders recently: [More information of uploader fjgtxy]
 To Search: ram vhdl
  • [ref-sdr-sdram-verilog] - this code is used to write the language
  • [EJB] - Middleware curriculum design, is on the
  • [yuanma] - Warehouse management system is a compres
File list (Check if you may need any files):

CodeBus www.codebus.net