Welcome![Sign In][Sign Up]
Location:
Downloads Other resource
Title: FPGAdigitaltimer Download
 Description: designed to achieve this with a number of preset clock design, and specific requirements are as follows : 1. Display correctly, , 2. display correctly when, minutes and 3 seconds. with school, the whole point timekeeping and stopwatch functions 4. for system simulation and download programming an experiment to test the correctness of system
 Downloaders recently: [More information of uploader wp27315335]
 To Search: Timer
  • [7seg_led] - use of the Xilinx FPGA in paragraph 107
  • [VHDL_TIMESET] - study of the topic, for the use of VHDL
  • [btspy-src2.00] - bt848, bt878 a acquisition card detectio
  • [edaTimer] - digital clock is the main function Minut
  • [xdais_5_10] - TI Algorithm 5.10 Soft Kit includes only
  • [dpll0226] - with a DPLL CPLD, VHDL or V language.
  • [D_Clock] - digital clock is the main function Minut
  • [szzsj] - This paper describes the design of digit
  • [TimerAndRing] - Teaching Darlin controller, microcontrol
  • [Altera] - The use of soft-core processor, Nios Ⅱ t
File list (Check if you may need any files):

CodeBus www.codebus.net