Welcome![Sign In][Sign Up]
Location:
Search - IEEE LIBRARY

Search list

[Other resourceunicntr

Description: 通用寄存器的部分代码 LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY traffic IS PORT(clk,sm,sb:IN bit mr,my,mg,br,by,bg:OUT bit ) END traffic -part of the general purpose registers IEEE code LIBRARY USE traffic IEEE.STD_LOGIC_1164.ALL ENTITY IS PORT (clk, sm, sb : IN mr bit, my, mg, br, by, bg : OUT bit) END traffic
Platform: | Size: 137331 | Author: 土木文田 | Hits:

[Speech/Voice recognition/combinegsl-1.10.tar

Description: GNU科学计算函数库GSL(GNU Scientific Library)是一个强大的C/C++数值计算函数库,它是一个自由软件,是GNU项目软件的一个部分,遵循GPL协议。函数库提供了大量的数值计算程序,如随机函数、特殊函数和拟合函数等等。整个函数库大约有1000多个函数,几乎涵盖了科学计算的各个方面。以下是整个函数库的目录: Complex Numbers Roots of Polynomials Special Functions Vectors and Matrices Permutations Sorting BLAS Support , BLAS(Basic Linear Algebra Subprograms) Linear Algebra Eigensystems Fast Fourier Transforms Quadrature Random Numbers Quasi-Random Sequences Random Distributions Statistics Histograms N-Tuples Monte Carlo Integration Simulated Annealing Differential Equations Interpolation Numerical Differentiation Chebyshev Approximation Series Acceleration Discrete Hankel Transforms Root-Finding Minimization Least-Squares Fitting Physical Constants IEEE Floating-Point
Platform: | Size: 2842422 | Author: 贺强 | Hits:

[Documents自动售货机VHDL程序与仿真

Description: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: in std_logic; --5角硬币、1元硬币 price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据 item0 , act:out std_logic_vector(3 downto 0); --显示、开关信号 y0,y1 :out std_logic_vector(6 downto 0); --钱数、商品数量显示数据 act10,act5 :out std_logic); --1元硬币、5角硬币 end PL_auto1; architecture behav of PL_auto1 is type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; --定义RAM signal item: std_logic_vector(1 downto 0); --商品种类 signal coin: std_logic_vector(3 downto 0); --币数计数器 signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量 signal clk1: std_logic; --控制系统的时钟信号 begin .。。。。。。。。。。。。。
Platform: | Size: 204288 | Author: niuyuanlai@163.com | Hits:

[VHDL-FPGA-Verilogunicntr

Description: 通用寄存器的部分代码 LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY traffic IS PORT(clk,sm,sb:IN bit mr,my,mg,br,by,bg:OUT bit ) END traffic -part of the general purpose registers IEEE code LIBRARY USE traffic IEEE.STD_LOGIC_1164.ALL ENTITY IS PORT (clk, sm, sb : IN mr bit, my, mg, br, by, bg : OUT bit) END traffic
Platform: | Size: 137216 | Author: 土木文田 | Hits:

[OtherMyEndNote

Description: 本VB源程序解决IEEE库的搜索引入EndNote时无文章下载网址的问题,它配合使用IEEE苦导出的两个索引格式(文本格式、EndNote格式),解决了无网址问题。-The VB source code to solve the introduction of IEEE english EndNote library without the article download URL, which is derived with the use of IEEE suffered two index format (text format, EndNote format), solved the problem of non-Web site.
Platform: | Size: 2048 | Author: 陈士德 | Hits:

[Speech/Voice recognition/combinegsl-1.10.tar

Description: GNU科学计算函数库GSL(GNU Scientific Library)是一个强大的C/C++数值计算函数库,它是一个自由软件,是GNU项目软件的一个部分,遵循GPL协议。函数库提供了大量的数值计算程序,如随机函数、特殊函数和拟合函数等等。整个函数库大约有1000多个函数,几乎涵盖了科学计算的各个方面。以下是整个函数库的目录: Complex Numbers Roots of Polynomials Special Functions Vectors and Matrices Permutations Sorting BLAS Support , BLAS(Basic Linear Algebra Subprograms) Linear Algebra Eigensystems Fast Fourier Transforms Quadrature Random Numbers Quasi-Random Sequences Random Distributions Statistics Histograms N-Tuples Monte Carlo Integration Simulated Annealing Differential Equations Interpolation Numerical Differentiation Chebyshev Approximation Series Acceleration Discrete Hankel Transforms Root-Finding Minimization Least-Squares Fitting Physical Constants IEEE Floating-Point -err
Platform: | Size: 2842624 | Author: 贺强 | Hits:

[Windows DevelopVFloat_lib_Nov14_2007

Description: 遵循 IEEE 754 标准的浮点运算 库 内含 denorm norm fp_add/sub fp_mult fp_devision 可以快速模拟单双精度浮点运算 导师授权使用 -Follow the IEEE 754 standard floating point library includes denorm norm fp_add/sub fp_mult fp_devision can quickly simulate single-and double-precision floating-point operations instructors are authorized to use
Platform: | Size: 64512 | Author: david | Hits:

[Internet-NetworkDEC_7SEG

Description: library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
Platform: | Size: 1024 | Author: yu | Hits:

[VHDL-FPGA-Verilogmotor_control

Description: LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL USE IEEE.STD_LOGIC_ARITH.ALL USE IEEE.STD_LOGIC_UNSIGNED.ALL
Platform: | Size: 1024 | Author: yu | Hits:

[Otherrobot_ctl

Description: -- Simple Robot Control Program -------------------------------------------------------------------------- library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
Platform: | Size: 1024 | Author: yu | Hits:

[Otherservo_control

Description: library IEEE use IEEE.STD_LOGIC_1164.all use IEEE.STD_LOGIC_ARITH.all use IEEE.STD_LOGIC_UNSIGNED.all
Platform: | Size: 1024 | Author: yu | Hits:

[Linux-Unixlinux-gpib-3.1.101.tar

Description: The Linux GPIB Package is a support package for GPIB (IEEE 488) hardware. The package contains kernel driver modules, and a C user-space library with Guile, Perl, PHP, Python and TCL bindings. The API of the C library is intended to be compatible with National Instrument s GPIB library. The Linux GPIB Package is licensed under the GNU General Public License . Requirements: Linux kernel version 2.4.x (use Linux-GPIB version 3.1.x). Earlier kernel versions are not supported. -The Linux GPIB Package is a support package for GPIB (IEEE 488) hardware. The package contains kernel driver modules, and a C user-space library with Guile, Perl, PHP, Python and TCL bindings. The API of the C library is intended to be compatible with National Instrument s GPIB library. The Linux GPIB Package is licensed under the GNU General Public License. Requirements: Linux kernel version 2.4.x (use Linux-GPIB version 3.1.x). Earlier kernel versions are not supported.
Platform: | Size: 755712 | Author: shi | Hits:

[Software EngineeringThe-adaptive-hough-transform

Description: The adaptive hough transform(21HT) 作者:J.Kittler,自适应霍夫变换方法的作者,IEEE的原文,从图书馆找的。-The adaptive hough transform (21HT) Author: J. Kittler, Adaptive Hough Transform, author of Ways, IEEE original text, from the library looking for.
Platform: | Size: 3381248 | Author: miaosiyi | Hits:

[Communication-Mobilesensors

Description: QualNet Sensor Networks Library QualNet’s new Sensor Networks Library is a much awaited feature set that supports the IEEE 802.15.4 standard, otherwise known as Zigbee. Zigbee networks are Wireless Personal Area Networks (WPANs) that are defi ned by a low data rate, long battery life, and secure networking. Zigbee networks support automation and remote control
Platform: | Size: 230400 | Author: kumar | Hits:

[Linux-UnixGlVideoManager

Description: VideoMan (Video Manager) is an open-source C++ library that helps you developing video based applications. We created VideoMan to increase our productivity developing computer vision applications, but it can be used in many other ways. With VideoMan is very easy and fast to initialize any kind of video input like webcams, video files, frame grabbers, IEEE 1394 cameras and so on. You can initialize multiple inputs at the same time and show them in the screen. Also, you can show the results of the processing steps of your computer vision algorithm (extracted edges, back projections, detected blobs...) This way you can see the results of what are you coding, checking the results of your algorithm steps. Moreover, the implemented visualization scheme make easier to combine computer graphics with video, for example to show a 3d model on top of video for augmented reality applications.-With VideoMan is very easy and fast to initialize any kind of video input like webcams, video files, frame grabbers, IEEE 1394 cameras and so on. You can initialize multiple inputs at the same time and show them in the screen. Also, you can show the results of the processing steps of your computer vision algorithm (extracted edges, back projections, detected blobs...) This way you can see the results of what are you coding, checking the results of your algorithm steps. Moreover, the implemented visualization scheme make easier to combine computer graphics with video, for example to show a 3d model on top of video for augmented reality applications.
Platform: | Size: 207872 | Author: Mzong | Hits:

[assembly languagesy1

Description: 28M分频器 D触发器 jk触发器 library ieee -library ieee use ieee.std_logic_1164.all use ieee.std_logic_arith.all use ieee.std_logic_unsigned.all entity ymq is port(num:in std_logic_vector(3 downto 0) dout:out std_logic_vector(0 TO 6)) end ymq architecture a1 of ymq is begin
Platform: | Size: 1024 | Author: 镜辰 | Hits:

[Windows Develop5_1

Description: 计算机组成Clibrary ieee -library ieee library ieee library ieee
Platform: | Size: 1024 | Author: 不帅 | Hits:

[Windows Developjizu

Description: 计算机组成Clibrary ieee -library ieee library ieee library ieee
Platform: | Size: 3072 | Author: 不帅 | Hits:

[assembly languageLIBRARY-IEEE

Description: 加法计数器的设计 任意进制的计数器设计-LIBRARY IEEE USE IEEE.STD_LOGIC_1164.ALL ENTITY sun IS PORT(ENA,CLK_IN,CLR:IN STD_LOGIC Y:OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) END sun ARCHITECTURE A OF sun IS SIGNAL CLK:STD_LOGIC SIGNAL TEMP:INTEGER RANGE 0 TO 9 BEGIN CLOCK:PROCESS(CLK_IN) VARIABLE CLOCK_BUFFER:STD_LOGIC VARIABLE COUNT_TIME:INTEGER RANGE 0 TO 1999999 BEGIN IF CLK_IN EVENT AND CLK_IN= 1 THEN IF COUNT_TIME=1999999 THEN COUNT_TIME:=0 CLOCK_BUFFER:=NOT CLOCK_BUFFER ELSE COUNT_TIME:=COUNT_TIME+1 END IF END IF CLK<=CLOCK_BUFFER END PROCESS COUNT:PROCESS(CLR,CLK,ENA) VARIABLE Q:INTEGER RANGE 0 TO 10 BEGIN IF(CLR= 0 )THEN Q:=0 ELSE IF CLK EVENT AND CLK= 1 THEN IF ENA= 1 THEN Q:=Q+1 IF(Q=10)THEN
Platform: | Size: 3072 | Author: 木子 | Hits:

[Othercase33bw

Description: matlab中matpower工具箱case,标准的库里面没有33bus,这个是标准ieee 33bus配网matpower case(Matpower toolbox case in matlab, there is no 33 bus in the standard library, this is the standard IEEE 33 bus distribution network Matpower case)
Platform: | Size: 1024 | Author: 店长 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net