Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: dec3_8 Download
 Description: VHDL has written a decoder 38, and pay the simulation waveform.
 Downloaders recently: [More information of uploader binchen123]
 To Search: dec3_8
  • [JM86] - 3-8 VHDL decoder, please
  • [vhdl] - Ppt version of VHDL Tutorial VHDL Introd
  • [bcd] - EDA decimal counter VHDL source code
File list (Check if you may need any files):
dec3_8

......\dec3_8

......\......\dec3_8.acf
......\......\dec3_8.fit
......\......\dec3_8.hex
......\......\dec3_8.hif
......\......\dec3_8.mmf
......\......\dec3_8.ndb
......\......\dec3_8.pin
......\......\dec3_8.pof
......\......\dec3_8.rpt
......\......\dec3_8.scf
......\......\dec3_8.snf
......\......\dec3_8.sof
......\......\DEC3_8.sym
......\......\dec3_8.tdf
......\......\dec3_8.ttf
......\......\dec3_8.vhd
......\......\LIB.DLS
......\......\U0507375.DLS
......\......\U2899854.DLS
......\......\U3682758.DLS
    

CodeBus www.codebus.net