Welcome![Sign In][Sign Up]
Location:
Search - waveform

Search list

[Windows Developvga

Description: 在cyclone2芯片上实现VGA解决方案,可以将模拟示波器波形在电脑上显示。也可以储存波形文件来显示 -In cyclone2 chip VGA solutions, Analog Oscilloscope waveforms can be displayed on the computer. Can also be stored waveform file to display
Platform: | Size: 2048 | Author: 王忠 | Hits:

[VHDL-FPGA-Verilog1111

Description: 基于FPGA的多波形发生器 基于FPGA的多波形发生器-FPGA-based multi-waveform generator based on multi-FPGA Waveform Generator
Platform: | Size: 1073152 | Author: 刘明吉 | Hits:

[CSharpSoundViewerSource

Description: 用csharp编写的波形显示源码,能够实时显示波形。-Prepared using CSharp source waveform display that can display real-time waveform.
Platform: | Size: 28672 | Author: lyn1023 | Hits:

[Audio programWave

Description: 波形圖可出現圖行波可看圖的波波形圖可出現圖行波可看圖的波-Waveform chart may be traveling wave can be read waveform of Figure Figure may be traveling wave can be pictures of the wave
Platform: | Size: 175104 | Author: aaa | Hits:

[OtherGen_Quartus_Vwf_Mif

Description: 产生波形文件中需要注意的事项,很不错的呦,好不容易下到的-Waveform files generated needs attention, very good Yo, finally down to the
Platform: | Size: 399360 | Author: liulifeng | Hits:

[matlabcode

Description: matlab用于信号发生器产生波形,正弦波,方波等-matlab for the signal waveform generator, sine wave, square wave, etc.
Platform: | Size: 13312 | Author: 毛名言 | Hits:

[Multimedia Developwaveform

Description: 读取和播放wave音频数据,并绘制波形图-Read and play wave audio data, and drawing waveforms
Platform: | Size: 5120 | Author: sungucoheng | Hits:

[Multimedia DevelopSpectrum_of_real_time_waveform_display

Description: 实时波形频谱显示,Spectrum of real-time waveform display-Spectrum of real-time waveform display
Platform: | Size: 279552 | Author: dak | Hits:

[matlabmatlab_codes

Description: 用于生成ECG波形,包括P波,QRS波,T波-Used to generate the ECG waveform, including the P wave, QRS wave, T wave
Platform: | Size: 2048 | Author: 白洁 | Hits:

[FlashMXFLASHMP3

Description: FLASH+SwiftMP3波形同步播放器模型 功能说明:FLASH+SwiftMP3波形同步的原理其实很简单,SwiftMP3把MP3转换成SWF的时候,把mp3中的音频数据以变量s0、s1、s2、s3、……、s17共18个变量储存,然后我们就可以根据这些变量的值即时设置波谱柱的高度了。注意我只是提供一个模型,解决了主要的技术内核,但这个播放器离实际应用还有一段距离,需要你自己去完善。 使用方法: 1,用SwiftMP3转换音乐后,请在flash_boXing.xml文件中修改你的音乐名字和路径,我设置最多为三条音乐,当然,相信聪明的你有了源文件,一定能自己随便改:)。 2,“SwiftMP3-1.0”文件夹中是用来转换MP3音乐的工具,你必须注册,不然每次只能转换一小段。 特别鸣谢sxl001 ,参考教程:http://space.flash8.net/bbs/thread-307160-1-1.html -★ FLASH+ SwiftMP3 waveform model synchronized players ★ Features: FLASH+ SwiftMP3 waveform synchronization principle is very simple, SwiftMP3 convert MP3 to SWF, when put in the mp3 audio data to variables s0, s1, s2, s3, ... ..., s17 store a total of 18 variables, And we can based on the value of these variables immediately set the height of the column spectroscopy. Note I just want to provide a model to solve the major technical core, but the player from the practical applications have a long way to go, need to perfect yourself. ★ Usage: 1, with music SwiftMP3 conversion, please amend the document at flash_boXing.xml music you first name and path, I set up a maximum of three music and, of course, I believe you have the source file, we can not change their own:). 2, "SwiftMP3-1.0" folder is used to convert MP3 music instrument, you must register, otherwise you can only convert a small section. Special thanks sxl001, reference tutorial: http://space.flash8.net/bbs/thread-30
Platform: | Size: 345088 | Author: FLASHMP3 | Hits:

[Graph Drawingplot

Description: 用VB6写的一个波形绘制软件,类似windows 任务管理器界面,只是绘制一个框架,实时波形须在控件的Display()方法中加入代码-Using VB6 to write a waveform drawing software, windows task manager like interface, but a framework for mapping, real-time waveform to be in control of the Display () method to add code
Platform: | Size: 5120 | Author: 尹刚 | Hits:

[Audio programarmtest

Description: 实现音频采集和频谱分析的程序, 包括录音,回放,显示波形,FFT频谱。 自己写的,所以比较简陋了,仅供参考。-Audio acquisition and spectrum analysis procedures, including recording, playback, display waveform, FFT spectrum. Wrote it myself, so it is a simple comparison.
Platform: | Size: 65536 | Author: Pole JO | Hits:

[Software Engineeringmp3_waveshape

Description: 打开一个音乐文件后,可以显示mp3的波形的示例程序-Open a music file, mp3 to show an example of procedure of the waveform
Platform: | Size: 295936 | Author: 周宏 | Hits:

[Multimedia DevelopWaveForm

Description: 采用WaveForm方法实现的语音播放控制器-WaveForm method used to play the voice controller
Platform: | Size: 8664064 | Author: 汪丽丽 | Hits:

[Otherpcr_fen_xi

Description: 在数字电视终端MPEG II 解码器测试中,解码能力测试是一个很关键的环节,即验证在前端输入码流满足TR 101 290 标准的前提下接收终端能正常解码,输出同步的视音频模拟信号。PCR 抖动 是影响接收终端解码的关键因素。本文深入探究了PCR 的功能和物理意义,并在分析PCR 抖动原因及其 测试参数的基础上,讨论了基于波形、幅度和频率三个参数的PCR 抖动仿真模型,并且根据实际测试终端解码器的需要,提出了具体的参数设置方法。-Terminals in the digital television decoder MPEG II test, the decoding ability of the test is a key link in that verification in the front-end input stream to meet the standard TR 101 290, under the premise receiving terminal to normal decoding, the output synchronization of video and audio analog signals. PCR jitter is the impact of receiving a key factor in decoding terminal. PCR This article explores in depth the function and physical meaning, and the reasons for the analysis of PCR jitter and test parameters on the basis of the discussion based on the waveform, magnitude and frequency of the three parameters of simulation model of PCR jitter, and test terminals based on the actual decoder the needs of specific parameter setting method.
Platform: | Size: 998400 | Author: 杨柳 | Hits:

[GDI-BitmapChartCtrl_demo

Description: vc++编写的实时波形显示,适合初学图象编程的人员学习-vc++ to prepare real-time waveform display is suitable for beginners to learn programming image
Platform: | Size: 579584 | Author: 吴限福 | Hits:

[matlabSVPWMshuchu

Description: 空间矢量脉宽调制(SVPWM)的波形的输出,方便大家更好的认识SVPWM的机理.-Space Vector Pulse Width Modulation (SVPWM) waveform of the output, to facilitate a better understanding of the mechanism of SVPWM.
Platform: | Size: 8192 | Author: 张华 | Hits:

[VHDL-FPGA-VerilogsWave

Description: 正弦波,Verilog波形发生器,很好的东西-Sine wave, Verilog waveform generator, a good thing
Platform: | Size: 1391616 | Author: yanppf | Hits:

[Audio programwaveform_generator

Description: waveform generator techniques
Platform: | Size: 20480 | Author: kalaimani | Hits:

[VHDL-FPGA-VerilogMulti_function_waveform_generator

Description: 多功能波形发生器VHDL程序与仿真.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。 -Multi-function waveform generator and simulation of VHDL procedures. The realization of four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency and magnitude of control output (square wave of duty cycle A is controllable), Arbitrary Waveform characteristics can store data and can reproduce the waveform, but also the completion of the linear superposition of a variety of output waveforms.
Platform: | Size: 10240 | Author: | Hits:
« 1 2 34 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net