Welcome![Sign In][Sign Up]
Location:
Search - vga interface vhdl

Search list

[VHDL-FPGA-Verilogvgaout

Description: VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
Platform: | Size: 7168 | Author: 余飞 | Hits:

[VHDL-FPGA-Verilogvhdl_vga

Description: 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for use with the use of modules : VGA, pulse along the module, module clock source. Use steps : 1. Turn the power 5V 2. Signal connectivity, the table below will 1K30 signal with the actual module linking well. 3. 1K30 good parallel plate connections and will be loading procedures. 4. Will the line color display with VGA interface connector good. 5. Choi of the signal can be generated in the display, along the pulse button MS1 module can change color of the produce
Platform: | Size: 95232 | Author: 刘浪 | Hits:

[VHDL-FPGA-Verilogsopc_vedio

Description: 吐血啦,sopc-vga 接口程序源代码-Hematemesis啦, sopc-vga interface program source code
Platform: | Size: 14336 | Author: 曾工 | Hits:

[VHDL-FPGA-VerilogVGAqudong

Description: 基于FPGA的VGA显示驱动源码~适合哪些想要开发VGA接口驱动的朋友-FPGA-based VGA display driver source code ~ for which you want to develop VGA interface driver friend
Platform: | Size: 1024 | Author: 杨之皓 | Hits:

[VHDL-FPGA-VerilogVGA

Description: FPGA驱动VGA接口显示字符 -FPGA-driven interface VGA display characters
Platform: | Size: 2048 | Author: 随风 | Hits:

[VHDL-FPGA-Verilogs8_vga

Description: 用FPGA实现的VGA接口程序,采用的语言是VHDL硬件描述语言,大家可以参照下看看采用的器件是Altera EP2c35-Using FPGA to achieve the VGA interface program, the language used is VHDL hardware description language, we can see under the light of the devices used are Altera EP2c35
Platform: | Size: 438272 | Author: 蔡白银1 | Hits:

[Graph programVGA

Description: 详细的VGA接口资料-VGA Interface detailed information
Platform: | Size: 246784 | Author: 王之希 | Hits:

[VHDL-FPGA-VerilogS8_VGA

Description: VGA显示接口的verilog控制程序。用于VGA显示器的控制驱动-VGA display interface Verilog control procedures. Control for VGA display driver
Platform: | Size: 1127424 | Author: zl.yin | Hits:

[VHDL-FPGA-VerilogVGA

Description: 基于FPGA嵌入式开发实现的VGA接口,已经验证通过。-FPGA-based embedded development to achieve the VGA interface, has been adopted to verify.
Platform: | Size: 4361216 | Author: john | Hits:

[Graph programVGA_move

Description: 单色扫频,vga接口程序,实现蓝红绿色的显示和运动-Sweep monochrome, vga interface program, the implementation of green blue red sports display and
Platform: | Size: 358400 | Author: 夏英杰 | Hits:

[VHDL-FPGA-Verilogvga

Description: VGA接口协议的硬件描述语言代码,用于FPGA的总线接口控制器开发-VGA interface protocol of the hardware description language code for the FPGA bus interface controller development
Platform: | Size: 129024 | Author: shigengxin | Hits:

[VHDL-FPGA-Verilogvga

Description: Xilinx FPGA verilog程序,用于控制VGA接口控制CRT显示器工作,使其实现色彩条显示-Xilinx FPGA verilog procedures VGA interface control used to control the work of CRT monitors to achieve color display article
Platform: | Size: 2048 | Author: 包宰 | Hits:

[VHDL-FPGA-VerilogVga

Description: The code is used to interface PC monitor with Spartan 3E for the display. if you run the program on spartan 3 you would be able to see different test pattern on the monitor screen
Platform: | Size: 626688 | Author: asit | Hits:

[OtherGameOfLife

Description: game of life in vhdl , using VGA interface and device
Platform: | Size: 7002112 | Author: laddu | Hits:

[VHDL-FPGA-Verilogps2interface

Description: this a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the supporting file for ps/2 interface .-this is a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the supporting file for ps/2 interface .
Platform: | Size: 5120 | Author: yasir | Hits:

[VHDL-FPGA-Verilogkeyb

Description: this a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the supporting file for keyboard interface and it also included a intro.vhdl file required for the startup animation file.-this is a fpga sparttan 3e based project in which i have made a game based on vga interface . this file is the supporting file for keyboard interface and it also included a intro.vhdl file required for the startup animation file.
Platform: | Size: 2048 | Author: yasir | Hits:

[VHDL-FPGA-Verilogps2

Description: 基于VHDL的,有ps2接口控制的,vga显示的加减计数器-Based on VHDL, there are ps2 interface control, vga show addition and subtraction counter
Platform: | Size: 357376 | Author: symbolics | Hits:

[VHDL-FPGA-Verilogfpgavgavhdl

Description: fpga驱动vga接口的vhdl语言实现,实现彩条及方块-fpga driver vga interface vhdl language implementation to achieve color bar and the box
Platform: | Size: 82944 | Author: 万小中 | Hits:

[VHDL-FPGA-VerilogVGA_test

Description: 基于FPGA设计的一段测试VGA接口的VHDL小程序\功能为在显示器上间隔显示横条、竖条以及棋盘格等彩条信号,希望对初学FPGA驱动VGA接口的电子爱好者有用-FPGA-based design of a VGA interface VHDL test applet \ functions for the intervals shown in the display bar, vertical bars and checkerboard patterns and other signals of color, hope for beginners FPGA VGA interface driver useful for electronic enthusiasts
Platform: | Size: 397312 | Author: 常娟成 | Hits:

[VHDL-FPGA-VerilogISE_lab19

Description: 基于VHDL语言编写的俄罗斯方块游戏,由VGA接口和电脑显示器显示,用PS2键盘操作控制。-Written in VHDL-based Tetris game, by the VGA interface and a computer display, with a PS2 keyboard control.
Platform: | Size: 3850240 | Author: 大机子 | Hits:
« 12 3 »

CodeBus www.codebus.net