Welcome![Sign In][Sign Up]
Location:
Search - verilog hdl D

Search list

[Other resourceD触发器的设计

Description: D触发器的设计 主要用在时序电路中。 所用语言为Verilog HDL.-D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.
Platform: | Size: 3572 | Author: 李鹏 | Hits:

[Other resourceSELLER

Description: 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号In,输出指示信号为 Sa, Sb ,Sc ,Sd, Se 分别表示售出相应的货物,同时输出的信号yuan, jiao代表找零,相应每个脉冲代表找零相应的硬币,上述输入和输出信号均是一个固定宽度的脉冲信号。
Platform: | Size: 1776 | Author: chenyi | Hits:

[Other resourcedac0832_VHDL

Description: 用Verilog HDL编写的0832源程序,实现对0832实现D/A转换。也可方便地转换为vhdl源程序。
Platform: | Size: 58763 | Author: 楼夏岚 | Hits:

[Other resource数据结构c描述习题集答案

Description: 减1计数器 一、设计要求 用Verilog HDL语言设计一个计数器。 要求计数器具有异步置位/复位功能,可以进行自增和自减计数,其计数周期为2^N(N为二进制位数)。 二、设计原理 输入/输出说明: d:异步置数数据输入; q:当前计数器数据输出; clock:时钟脉冲; count_en:计数器计数使能控制(1:计数/0:停止计数); updown:计数器进行自加/自减运算控制(1:自加/0:自减); load_d-a counter a reduction, design requirements using Verilog HDL design of a counter. Asynchronous requests with counter-home / reset functions can be carried out by self and self-count reduction, cycle counting of 2 ^ N (N for binary digit). Second, the principle of design input / output Description : d : asynchronous home several data input; Q : The current counter data output; Clock : clock pulse; Count_en : Counting enable control (1 : Counting / 0 : Stop counting); Updown : dollars several self-Canada / reduction Operational control (1 : Since the plus / 0 : Since decrease); load_d
Platform: | Size: 112206 | Author: tutu | Hits:

[SourceCode带同步清0、同步置1 的D 触发器

Description: 带同步清0、同步置1 的D 触发器, Verilog HDL 源码
Platform: | Size: 172858 | Author: cccccs1988@126.com | Hits:

[VHDL-FPGA-Verilog数据结构c描述习题集答案

Description: 减1计数器 一、设计要求 用Verilog HDL语言设计一个计数器。 要求计数器具有异步置位/复位功能,可以进行自增和自减计数,其计数周期为2^N(N为二进制位数)。 二、设计原理 输入/输出说明: d:异步置数数据输入; q:当前计数器数据输出; clock:时钟脉冲; count_en:计数器计数使能控制(1:计数/0:停止计数); updown:计数器进行自加/自减运算控制(1:自加/0:自减); load_d-a counter a reduction, design requirements using Verilog HDL design of a counter. Asynchronous requests with counter-home/reset functions can be carried out by self and self-count reduction, cycle counting of 2 ^ N (N for binary digit). Second, the principle of design input/output Description : d : asynchronous home several data input; Q : The current counter data output; Clock : clock pulse; Count_en : Counting enable control (1 : Counting/0 : Stop counting); Updown : dollars several self-Canada/reduction Operational control (1 : Since the plus/0 : Since decrease); load_d
Platform: | Size: 111616 | Author: tutu | Hits:

[VHDL-FPGA-VerilogD触发器的设计

Description: D触发器的设计 主要用在时序电路中。 所用语言为Verilog HDL.-D flip-flop with the main design of the timing circuit. The language used for Verilog HDL.
Platform: | Size: 3072 | Author: 李鹏 | Hits:

[VHDL-FPGA-VerilogA-to-D-VerilogHDL

Description: 在硬體上將十進制轉二進制,不需要使用加法器的運算方式,大大減少運算的時間。-In terms of hardware decimal to binary will be no need to use adder computing the way, greatly reducing the computing time.
Platform: | Size: 1024 | Author: 旻倫 | Hits:

[VHDL-FPGA-VerilogSELLER

Description: 基于verilog HDL的自动售货机控制电路设计: 可以对5种不同种类的货物进行自动售货,价格分别为A=1.00,B=1.50,C=1.80,D=3.10,E=5.00 。售货机可以接受1元,5角,1角三种硬币(即有三种输入信号IY,IWJ,IYJ),并且在一个3位7段LED(二位代表元,一位代表角)显示以投入的总钱数,最大9.90元,如果大于该数值,新投入的硬币会退出,选择货物的输入信号Ia,Ib,Ic,Id,Ie和一个放弃信号In,输出指示信号为 Sa, Sb ,Sc ,Sd, Se 分别表示售出相应的货物,同时输出的信号yuan, jiao代表找零,相应每个脉冲代表找零相应的硬币,上述输入和输出信号均是一个固定宽度的脉冲信号。
Platform: | Size: 1024 | Author: chenyi | Hits:

[VHDL-FPGA-Verilogdac0832_VHDL

Description: 用Verilog HDL编写的0832源程序,实现对0832实现D/A转换。也可方便地转换为vhdl源程序。-Prepared by using Verilog HDL source code 0832, 0832 to achieve the realization of D/A conversion. Also can be easily converted to VHDL source code.
Platform: | Size: 58368 | Author: 楼夏岚 | Hits:

[VHDL-FPGA-Verilogshifter

Description: 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制,显示在数码管LED8 上 D[7..0]是移位数据输入,由键2 和1 控制,显示在数码管2 和1 上 QB[7..0]是移位数据输出,显示在数码管6 和5 上:cn 是移位数据输出进位,显示在数码管7 上。-SHIFTER shift calculator using Verilog HDL language, the input and output side with the keyboard/display LED connection. Shift operator is a sequential circuit, in J when the bell signals the arrival of a state of change, CLK its clock. By S0, S1, M to control the functions of the state of shift operations, with data loading, data maintenance, cycle shifted to right, into the digital cycle shifted to right, circle left, circle to the left into the digital functions. CLK is the clock pulse input through the key high 5 low M mode control, M = l-bit cyclic shift into when, controlled by the key 8 into the displacement of CO to allow input from 7 control keys: S Control Shift Mode 0-3, 6 button control from showing in the digital control LED8 on D [7 .. 0] is the shift data input from the keys 2 and 1 control, displayed in the digital tube 2 and 1 QB [7. .0] is the displacement data output, displayed on the LED 6 and 5: cn is a binary data output shift, showing 7 on in the digital co
Platform: | Size: 129024 | Author: 623902748 | Hits:

[Embeded-SCM Developverilog_FPGA_DDC

Description: 这是一个用verilog HDL实现的实现数字下变频的源代码。-This is a verilog HDL used to achieve the realization of digital down conversion of the source code.
Platform: | Size: 2790400 | Author: 王坤 | Hits:

[VHDL-FPGA-Verilogeda

Description: 利用FPGA可编程芯片及Verilog HDL语言实现了对直流电机PwM控制器的设计,对直流电机速度进行控制。介绍了用Verilog HDL语言编程实现直流电机PwM控制器的PwM产生模块、串口通信模块、转向调节模块等功能,该系统无须外接D/A转换器及模拟比较器,结构简单,控制精度高,有广泛的应用前景。同时,控制系统中引入上位机控制功能,可方便对电机进行远程控制。-Using FPGA programmable chip and Verilog HDL language for the design of DC motor PwM controller, DC motor speed control. Introduced with the Verilog HDL language programming controller PwM DC PwM generated module, serial communication module, steering adjustment module and other functions, the system is an external D/A converters and analog comparators, simple structure, high control precision, there a wide range of applications. Meanwhile, the introduction of PC control system control functions can be easily remote control the motor.
Platform: | Size: 4268032 | Author: 杨汉轩 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 各种基础的Verilog hdl实验的实验报告,包括D触发器,移位寄存器,选择器,译码器等等,有很详细的操作步骤,对于初学者很有用。-All based on Verilog hdl experiments are reported, including the D flip-flops, shift registers, selectors, decoders, etc., there are detailed steps, useful for beginners.
Platform: | Size: 3365888 | Author: yangshisong | Hits:

[VHDL-FPGA-VerilogRealization_of_FPGA_for_LDPC_encoding

Description: 低密度奇偶校验码(简称LDPC码)是目前距离香农限最近的一种线性纠错码,它的直接编码运算量较大,通常具有码长的二次方复杂度.为此,利用有效的校验矩阵,来降低编码的复杂度,同时研究利用大规模集成电路实现LDPC码的编码.在ISE 8.2软件平台上采用基于FPGA的Verilog HDL语言实现了有效的编码过程,为LDPC码的硬件实现和实际应用提供了依据-Abstract:Low.density parity·check code(LDPC code)is a kind of linear eror·correcting code nearest to Shannon Limit.For LDPC cod e,the computational overhead for direct encoding operations is large,as the complexity of encod ing is the square of the length of codeword.Hence,this paper reduces the complexity of coding by using effective parity—check matrix,and realizes the encoding device for LDPC code by use of large·scale integrated circuits.The effective encoding process based on FPGA with Verilog HDL language is implemented on ISE 8.2 software platform ,providing a feasible basis for hardware implementation an d practical application of LDPC code.
Platform: | Size: 165888 | Author: 秦小星 | Hits:

[VHDL-FPGA-Verilog20110126113917873

Description: A/D转换芯片TLC2543的verilog编程,根据TLC5243的datasheet编写,程序简单,结构清晰,可以借鉴应用-A/D converter chip TLC2543 the verilog programming
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-VerilogAdvanced-Digital-Design-with-the-Verilog-HDL-CODE.

Description: 《Verilog HDL高级数字系统设计》(Michael D. Ciletti著) Verilog HDL源代码-" Verilog HDL Advanced Digital System Design" (Michael D. Ciletti a) Verilog HDL source code
Platform: | Size: 1070080 | Author: 曹氏 | Hits:

[VHDL-FPGA-VerilogVerilog-HDL-based-signal-generator

Description: 应用Verilog进行编写四种波形发生的程序,并结合DE2板与DVCC实验板上的D/A转换器在示波器显示出波形。初步了解Verilog的编程及DE2板的应用,加强对其的实际应用操作能力。-Verilog waveform application process for the preparation of the four occurred, combined with D DE2 board and DVCC experimental board/A converter in the oscilloscope displays waveform. Preliminary understanding of the Verilog programming and DE2 board applications, strengthening its practical application ability.
Platform: | Size: 354304 | Author: 秦雯 | Hits:

[VHDL-FPGA-Verilogbuffer

Description: Hi iam Ramana a research scholar,doing my phd from sathyabama university. Title: Designa video codec h.264 processor using verilog hdl. i request you to send video codec H.264 on Verilog hdl. regards D Ramana, M.Tech(Ph.D) SATHYABAMA UNIVERSITY, CHENNAI PH:+91-9885610083
Platform: | Size: 46080 | Author: ramanna | Hits:

[VHDL-FPGA-Verilogtest_ADC

Description: verilog 数模转换程序,包括AD与DA,AD能够对于波形的数值进行输出,使用的是ego1开发板(transition of A/D signal)
Platform: | Size: 12637184 | Author: 白珑 | Hits:
« 12 »

CodeBus www.codebus.net