Welcome![Sign In][Sign Up]
Location:
Search - traffic lights controller

Search list

[VHDL-FPGA-Verilognclight

Description: 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green light, the duration of 45, morphine seconds.
Platform: | Size: 1024 | Author: 空气 | Hits:

[File Formatasda

Description: 1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2.要求黄灯先亮5秒,才能变换运行车道; 3.根据交通灯控制系统框图,画出完整的电路图。 3.设计出PCB图 -1. Design a crossroads, the traffic lights control circuit, A request lane motorway and two B crossover vehicles on the road alternate operation, each time as the passage of 25 seconds; 2. Asked yellow first-five seconds, can transform running lane; 3. According to the traffic light control system block diagram, paint a complete circuit. 3. PCB design plans
Platform: | Size: 256000 | Author: kaimin | Hits:

[assembly languageC51CrossLight

Description: 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design of a traffic light controller. 2. Use of learning machine on the LED and set the East, South, West, North 4 direction, the three lights (red, yellow, green). Traffic signal controller normal working hours, the north- and south-bound red light three seconds, two seconds flashing yellow light, green light-three seconds, and so on. East-west direction green three seconds, two seconds flashing yellow light, red light three seconds, and so on. 3. Set two emergency buttons, a north-south control lights, a light control things. When pressing the corresponding key emergency, its control the traffic lights green, the other direction, the traffic lights class. Key to loose control and restore normal traffic control.
Platform: | Size: 10240 | Author: wangpeng | Hits:

[VHDL-FPGA-Verilogtraffic_control

Description: 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green, yellow and red, respectively for the duration of 20 seconds, five seconds and 25 seconds; When special circumstances (such as fire engines, ambulances and the like), in both directions were red light, stop time, when the special circumstances after the controller to restore the original state, continue normal operations
Platform: | Size: 2048 | Author: 飘来的南风 | Hits:

[Windows DevelopTrafficLight

Description: 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。-The design of a traffic signal controller, by a trunk and a branch road汇合成crossroads at the entrance to set up in each red, green, yellow three-color lights, red light curfew, green permit passage to a yellow light while driving vehicles parked in the ban have time outside the lane.
Platform: | Size: 282624 | Author: zhuzi200803 | Hits:

[Software Engineeringjiaotongdeng

Description: 十字路口交通灯控制器设计报告,写得非常好,很有参考价值-Crossroads traffic lights controller design report, written very good, useful reference
Platform: | Size: 145408 | Author: 郭海东 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: 利用Verilog实现交通灯控制 Quartus II平台实现仿真 -Verilog realize the use of traffic lights to control Quartus II simulation platform
Platform: | Size: 145408 | Author: 许东滨 | Hits:

[VHDL-FPGA-Verilogtraffic_control

Description: 软件开发环境:ISE 7.1i 仿真环境:ISE Simulator 1. 这个实例实现通过ISE Simulator工具实现一个具有两个方向共八个灯的交通灯控制器; 2. 工程在project文件夹中,双击traffic.ise文件打开工程; 3. 源文件在rtl文件夹中,traffic.v为设计文件,traffic_tb.tbw是仿真波形文件; 4. 打开工程后,在工程浏览器中选择traffic_tb.tbw,在Process View中双击“Simulation Behavioral Model”选项,进行行为仿真,即可得到仿真结果。-Software development environment: ISE 7.1i simulation environment: ISE Simulator1. Realize this instance through the ISE Simulator tool to achieve a total of eight lights in both directions of traffic lights controller 2. Works project folder, double-click traffic.ise Open the project document 3. rtl source file in the folder, traffic.v for design documents, traffic_tb.tbw is the simulation waveform files 4. to open a project, the project browser, select traffic_tb.tbw, in the Process View in the double hit
Platform: | Size: 248832 | Author: 李华 | Hits:

[Software Engineeringjiaotongdeng

Description: 本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 -The system uses the MSC-51 Series MCU ATSC51 and programmable parallel I/O interface chip 8255A-centric devices to design the traffic light controller, which can realize the basis of actual traffic flow through the P1 port 8051 chip set red, green fuel Liang time function traffic lights lit cycle, the countdown five seconds left when the yellow light flashing warning (traffic lights signal through the PA output, indicating the time directly through the PC port output 8255 to double-digit digital tube) vehicles闯红灯alarm green time can detect the flow through a double-digital tube display. The system practical, simple operation, the expansion of strong functions.
Platform: | Size: 131072 | Author: ljj | Hits:

[JSP/JavaXR

Description: 交通信号灯的线程设计 实验目的:多线程设计,同步机制 题意 设计一个交通信号灯类: (1) 变量:位置、颜色(红、黄、绿)、显示时间(秒)。 (2) 方法:切(3) 换信号灯。 创建并启动两个线程(东西向、南北向)同时运行。 实验要求 (4) 设计线程。 (5) 设计路口信号灯示意图界面。 (6) 进一步将每个方向的信号灯分成3种车道灯:左转、直行和右转。 (7) 根据车流量进行时间的模糊控制。 -Traffic signal design experimental purposes thread: multi-threaded design, synchronization mechanism to design a title intended to traffic lights categories: (1) variables: location, color (red, yellow and green), showing time (seconds). (2) Method: Cut (3) for signal. Create and start two threads (east to west, north-south) to run simultaneously. Experimental requirements (4) design thread. (5) Schematic diagram of the interface design of the junction signal. (6) further in each direction, the signal is divided into three kinds of driveway lights: turn left, go straight and turn right. (7) in accordance with the time flow of fuzzy control.
Platform: | Size: 4096 | Author: 伊川 | Hits:

[VHDL-FPGA-Verilogjtd

Description: 这个是用verilog语言编写的基于FPGA的交通灯控制器,分别控制四个方向上的交通灯的通断-The verilog language is FPGA-based traffic light controller, respectively, the four direction control of traffic lights-off
Platform: | Size: 48128 | Author: jyb | Hits:

[VHDL-FPGA-VerilogCPLD

Description: 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is completed by-Abstract: This paper introduces the CPLD chip to the traffic lights at the crossroads of design, traffic lights with CPLD as the master controller chip, the use of VHDL language control procedures, the use of CPLD re-programming and dynamic system reconfiguration in the features greatly enhance the digital system design flexibility and versatility. Keywords: CPLD VHDL traffic lights controller CLC number: TP39 Abstract: This paper introduces the electronic-traffic lamp, which is based on the VHDL and is completed by
Platform: | Size: 34816 | Author: jimmy | Hits:

[VHDL-FPGA-Verilogjtd

Description: 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的1KHz 时钟,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz 脉冲,即每1s 中递减一次,在显示时间小于3 秒的时候,通车方向的黄灯以2Hz的频率闪烁。系统中用S1 按键进行复位。-To complete the tasks in this experiment is to design a simple traffic light controller, traffic lights display box with the experimental traffic lights control module and the seventh of arbitrary code to display the two. Select system clock clock module 1KHz clock, clock called for blinking yellow light 2Hz, and seventh time code display tube for 1Hz pulse, that is diminishing every 1s in time, display time in less than three seconds when the opening direction of the yellow flicker frequency to 2Hz. System used for reset button S1.
Platform: | Size: 41984 | Author: 卢陶 | Hits:

[assembly language8253

Description: 本报告主要介绍了微机原理与接口技术的应用之一——交通灯控制器的设计与实现,主要是模拟十字路口的红绿灯,还介绍了交通灯控制器的原理以及电路接线,其中主要用到的芯片有可编程并行通信接口芯片8255A。在设计中所用到的编程语言是汇编语言,延时采用的是软件延时(即通过汇编指令)。设计所用到的备是由西安唐都科技仪器公司生产的TD-PIT+实验系统一套。在实验室将汇编程序调试通过后即可看到设计的结果。-This report introduced the Microcomputer Principle and Interface Technology, one- traffic light controller design and realization of the simulation at the crossroads of major traffic lights, traffic lights also introduced the principle of the controller and the circuit wiring, the main use The chip has a programmable communications interface chip parallel 8255A. Used in the design of the programming language is assembly language, delay is used in software delay (that is, through compilation of instructions). Preparation of the design used by the Instrument Science and Technology Garden have produced TD-PIT+ a set of experimental system. In the laboratory testing will be compiled after the adoption of procedures designed to see the results.
Platform: | Size: 70656 | Author: tangshuai | Hits:

[Software EngineeringTraffic-lights

Description: 之前做的交通灯课程设计,报告摘要、方案设计、电路设计、工作过程、仿真情况、使用元器件介绍、总结及参考文献完整。并附交通信号灯控制器电路图、交通信号灯控制器电路元件明细表-The traffic lights before the curriculum design, the report summary, program design, circuit design, process simulation, the use of components, the integrity of the conclusions and references. With traffic signal controller circuit, the traffic signal controller circuit element breakdown
Platform: | Size: 917504 | Author: hanqi | Hits:

[Other8255A

Description: 交通灯控制器实验报告--- SOC课程设计 一.实验功能 该交通灯控制器,能完成以下功能: ⒈ 显示交通灯的红、黄、绿的指示状态 用L1、L2、L3作为绿、黄、红灯; ⒉ 能实现正常的倒计时功能: 用M2、M1作为南北方向的倒计时显示器,显示时间为红灯8秒,绿灯8秒,黄灯8秒。 ⒊ 能实现特殊状态的功能 (1) 按S1后,能实现特殊状态功能; -Experimental reports of traffic light controller to design a course--- SOC. Experimental features of the traffic signal controller, to complete the following functions: ⒈ show traffic lights red, yellow and green with the instructions of the state of L1, L2, L3, as green, yellow, red ⒉ countdown to achieve normal function: to use M2 , M1 north-south direction, as the countdown display, showed a red light 55 seconds, 30 seconds the green light, yellow light 15 seconds. ⒊ to achieve the special status of function (1) post-S1, to achieve the special status of function
Platform: | Size: 1024 | Author: 撕裂的天堂 | Hits:

[VHDL-FPGA-VerilogTraffic_llight_controller

Description: Consider the following variation on the traffic light controller problem. A North-South road intersects an East-West road. In addition to the Red/Yellow/Green traffic lights, the N-S road has green left-turn arrows. The arrows work as follows. With the traffic lights red in all direction, the N-S left turn arrows are illuminated Green. Then they turn yellow and finally they turn red. At this point, the N-S lights cycle Green/Yellow/Red. In the N-S direction, the Green Arrow time is 16 seconds and the Yellow Arrow time is 8 s. Overlapping with this is Red light time, which is 88 s. The Green light time is 24 s and the Yellow light time is 8 s. The Red Arrow time is what is left after the other arrows have been illuminated within the N-S cycle. The E-W lights are: Red 56 s, Green 56 s, and Yellow 8 s. -Consider the following variation on the traffic light controller problem. A North-South road intersects an East-West road. In addition to the Red/Yellow/Green traffic lights, the N-S road has green left-turn arrows. The arrows work as follows. With the traffic lights red in all direction, the N-S left turn arrows are illuminated Green. Then they turn yellow and finally they turn red. At this point, the N-S lights cycle Green/Yellow/Red. In the N-S direction, the Green Arrow time is 16 seconds and the Yellow Arrow time is 8 s. Overlapping with this is Red light time, which is 88 s. The Green light time is 24 s and the Yellow light time is 8 s. The Red Arrow time is what is left after the other arrows have been illuminated within the N-S cycle. The E-W lights are: Red 56 s, Green 56 s, and Yellow 8 s.
Platform: | Size: 6144 | Author: deepa | Hits:

[VHDL-FPGA-Verilogjtd

Description: 这是一个用VHDL编写的交通灯控制器,可以控制主干道和乡村公路的红绿灯-It is written in VHDL, a traffic light controller that can control the main roads and rural roads at the traffic lights
Platform: | Size: 290816 | Author: 蔡斌 | Hits:

[assembly languagefsd

Description: 本系统采用MSC-51系列单片机ATSC51和可编程并行I/O接口芯片8255A为中心器件来设计交通灯控制器,实现了能根据实际车流量通过8051芯片的P1口设置红、绿灯燃亮时间的功能;红绿灯循环点亮,倒计时剩5秒时黄灯闪烁警示(交通灯信号通过PA口输出,显示时间直接通过8255的PC口输出至双位数码管);车辆闯红灯报警;绿灯时间可检测车流量并可通过双位数码管显示。本系统实用性强、操作简单、扩展功能强。 -The system uses MSC-51 Series Microcontroller ATSC51 and programmable parallel I/O interface chip 8255A-centric devices to design traffic signal controller based on the actual traffic flow can be achieved through the P1 port 8051 chip set of red, green, gave the time of function traffic light cycle of light, the countdown 5 seconds left when the yellow light flashing warning (traffic light signal through the PA port output, display time directly through the 8255 output of the PC port to double-digit nixie tube) of vehicles running red lights warning green time can detect vehicles flow can be through a double-digit LED display. The system practical, simple, extending strong function.
Platform: | Size: 86016 | Author: qwwqe | Hits:

[VHDL-FPGA-VerilogTraffic-Light-Controller

Description: Traffic Lights controller in 5 state
Platform: | Size: 1206272 | Author: tattam | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net