Welcome![Sign In][Sign Up]
Location:
Search - stopwatch

Search list

[VHDL-FPGA-Verilogpaobiao

Description: 该程序是用verilog语言实现的数字跑表功能,其中分为计数模块与数码管显示模块。-The program is verilog language digital stopwatch function, which is divided into counting module with digital display module.
Platform: | Size: 1024 | Author: 柳庆勇 | Hits:

[CSharpCsharp_Stopwatch

Description: Csharp开发的高精度秒表,采用多线程,没有用空间,适合嵌入式开发-Csharp the development of high-precision stopwatch, multi-threading, no use of space, suitable for embedded development
Platform: | Size: 33792 | Author: chengwengyin | Hits:

[Windows Developstopsecondwatch

Description: 用VC++6.0实现的秒表程序,采用XPbutton风格,开始计时,回零,设初值等-Implemented with VC++6.0 stopwatch program, using XPbutton style, start time, back to zero, set the initial value, etc.
Platform: | Size: 3772416 | Author: vincent | Hits:

[ARM-PowerPC-ColdFire-MIPSAlarmClock

Description: 功能: 1.表盘界面的显示,显示当前时间。 2.设置交互界面,用以设置时间信息和选择提示事件。 3.用户可以输入特定时间和选择播放声音或闪动指示灯来提醒用户。 4.具有秒表功能。 设计提示: 1.应用程序框架设计。 2.程序菜单设计。 3.程序对话框设计。 4.表盘绘图程序设计。 5.事件定时提醒功能设计。-Features: 1. Dial interface display, display the current time. 2. Set interface to set the time information and select prompt events. 3. Users can input a specific time and choose to play a sound or flashing lights to alert the user. 4. Has a stopwatch function. Design tips: 1. Application Framework Design. 2. Program menu design. 3. Program dialog box design. 4. Dial graphics programming. 5. Event timing reminder functionality.
Platform: | Size: 1024 | Author: 马叶飞 | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: 秒表 数码管显示 采用verilog语言编写 Quartus II 9.0sp2 编译成功后生成的所有文件已包含-Digital display with stopwatch verilog language Quartus II 9.0sp2 successfully compiled all the files have been generated that contains
Platform: | Size: 509952 | Author: 王冠 | Hits:

[SCMmiaobiaochengxu

Description: 单片机写的控制数码管显示秒表的c程序-MCU write control digital display stopwatch c program
Platform: | Size: 17408 | Author: lbl | Hits:

[SCMStopky

Description: Stopwatch with MC1602SYL/E
Platform: | Size: 26624 | Author: Vaclav | Hits:

[VHDL-FPGA-Verilogstop_watch_with_doc

Description: vhdl code for stopwatch
Platform: | Size: 1230848 | Author: Jw | Hits:

[VHDL-FPGA-Verilogcounter

Description: Counter for VHDL. I have made a 3 bit COunter for my stopwatch project. -Counter for VHDL. I have made a 3 bit COunter for my stopwatch project.
Platform: | Size: 1024 | Author: Jesper | Hits:

[CSharpTimer

Description: 毫秒级秒表,精确计时,快捷键,有暂停、记录功能-Millisecond stopwatch, accurate timing, shortcut keys, a pause, record
Platform: | Size: 2886656 | Author: 林寒 | Hits:

[VHDL-FPGA-Verilogclock

Description: 时钟设计 实现钟表功能可自动调节时间的大小以及充当秒表-Clock design and implementation of time clocks feature automatically adjusts the size and act as a stopwatch
Platform: | Size: 326656 | Author: 吕波 | Hits:

[SCMSeg7Code

Description: 双数码管可调秒表,,选用12MHz的晶体。为了达到精确计时,选用定时器方式2,每计数250重载一次,即250us,定义一整数变量计数重载次数,这样计数4000次即为一秒。定义两个字节变量S10和S1分别计算秒十位和秒个位。-Dual adjustable digital stopwatch,, use 12MHz crystal. In order to achieve accurate timing, use the timer mode 2, one for each count of 250 heavy, that is 250us, define an integer variable counting the number of overloaded, so that 4000 is the second count. Define two bytes of variables were calculated S10 and S1 ten seconds, and seconds bit.
Platform: | Size: 1024 | Author: ruanxioafei | Hits:

[Delphi VCLKalendar

Description: Kalendar - simple Calendar implementation, stopwatch. Example how to use timer in Delphi applications.
Platform: | Size: 10240 | Author: android007 | Hits:

[JSP/Javamiaobiao

Description: 实现秒表计时功能的Java程序,适于学生学习使用,界面很好-Stopwatch timer functions to achieve the Java program, for students to learn to use, good interface
Platform: | Size: 52224 | Author: zhipengzheng | Hits:

[VHDL-FPGA-Verilogdigital_clock

Description: 用Verilog HDL 设计一个多功能数字钟,包含以下主要功能: (1) 计时,时间以24小时制显示。 (2) 校时, (3) 跑表:启动、停止、暂停 -Verilog HDL design with a multi-functional digital clock, includes the following main functions: (1) time, time to 24-hour display. (2) school, (3) stopwatch: start, stop, pause
Platform: | Size: 1374208 | Author: 冯鑫 | Hits:

[SCMclock

Description: 电子钟表 带秒表计时功能 可获取当前日期/时间-Electronic clock with a stopwatch function to get the current date/time
Platform: | Size: 2048 | Author: wjs | Hits:

[JSP/Javastopwatch

Description: 设计一秒表,实现计时的开始,暂停,停止功能。-Timing can start, pause, stop function
Platform: | Size: 1024 | Author: jack | Hits:

[SCMmiaobiao

Description: 实现00-99.9秒表功能,基于pic单片机,有c语言和汇编语言两种-Achieve 00-99.9 stopwatch function, based on the pic microcontroller, there are two kinds of c and assembly language
Platform: | Size: 10240 | Author: 王少伟 | Hits:

[SCMshumaguandongtaisaomiaoxianshi

Description: 1.利用动态扫描方法在六位数码管上显示出稳定的654321. 2.用动态扫描方法和定时器1在数码管的前三位显示出秒表,精确到1 秒,即后两位显示1 秒,一直循环下去。 3.利用动态扫描和定时器1在数码管上显示出从765432开始以1/10秒的速度往下递减直至765398并保持显示此数,与此同时利用定时器0以500MS速度进行流水灯从上至下移动,当数码管上数减到停止时,实验板上流水灯也停止然后全部开始闪烁,3秒后(用T0定时)流水灯全部关闭、数码管上显示出“HELLO”。到此保持住。 -1. By dynamic scanning method of the six digital display a stable 654321.2. By dynamic scanning method and Timer 1 in the top three shows digital stopwatch, accurate to 1 in seconds, that is displayed after the two 1 of the second, has been the cycle continues. 3. Use of dynamic scanning and Timer 1 in the digital tube display starting from 765 432 to 1/10 sec down and keep decreasing until the show this number 765,398, while the use of Timer 0 to 500MS speed of light from the water move on to the next, when the LED stops on the reduced number of experimental water board and then all the lights have stopped flashing, 3 seconds (timed with T0) water all the lights turned off, digital tube display " HELLO" . This hold.
Platform: | Size: 18432 | Author: 仁惠 | Hits:

[SCMdingshiqi

Description: 99秒秒表设计,1开始时,显示“00”,第一次按下sp1后就开始计时;2第二次按下sp1后,计时停止;3第三次按下sp1后,计时归零-99 seconds stopwatch design, a beginning, show " 00" , the first time after the beginning of press sp1 2 second press sp1, the time to stop 3 sp1 the third press, the time zero
Platform: | Size: 32768 | Author: kristy | Hits:
« 1 2 ... 45 46 47 48 49 50»

CodeBus www.codebus.net