Welcome![Sign In][Sign Up]
Location:
Search - stopwatch

Search list

[SCMlet

Description: pic单片机液晶秒表程序,利用pic开发板提供的键盘可以实现秒表的计时操作-pic microcontroller LCD stopwatch program, using the keyboard pic development board provides stopwatch timing operation can be achieved
Platform: | Size: 5120 | Author: 小名 | Hits:

[VHDL-FPGA-Verilogsecondclock

Description: 本设计是基于altera公司的ep2s750FPGA芯片的秒表计数器,其中包含六进制计数器和十进制计数器和万分频器等模块。-This design is based on the company s ep2s750FPGA altera stopwatch counter chip, which contains six binary counter and decimal counter and 10,000 divider modules.
Platform: | Size: 334848 | Author: 游飞 | Hits:

[VHDL-FPGA-Verilogkey_display

Description: 秒表 verilog 程序非常适合刚接触 vreilog语言的人学习-Stopwatch verilog program is ideal for people new to vreilog language learning
Platform: | Size: 373760 | Author: 张江 | Hits:

[SCM51-clock

Description: 数码管前三位显示一个跑表,从000到999之间以1 秒速度运行,三个独立键盘实现按下第一个时计时停止,按下第二个时计时开始,按下第三个是计数值清零从头开始。-The top three shows a digital stopwatch, from 000 to 999 seconds, running at 1 , to achieve three separate keyboard, when pressed the first time to stop, when the second start time, press the third is clear from the beginning the count.
Platform: | Size: 1024 | Author: 陈少鹏 | Hits:

[VHDL-FPGA-Verilogvhdlcoder

Description: 本文件夹包含了16个VHDL 编程实例,仅供读者编程时学习参考。 一、四位可预置75MHz -BCD码(加/减)计数显示器(ADD-SUB)。 二、指示灯循环显示器(LED-CIRCLE) 三、七人表决器vote7 四、格雷码变换器graytobin 五、1位BCD码加法器bcdadder 六、四位全加器adder4 七、英语字母显示电路 alpher 八、74LS160计数器74ls160 九、可变步长加减计数器 multicount 十、可控脉冲发生器pluse 十一、正负脉宽数控调制信号发生器pluse width 十二、序列检测器string 十三、出租车计费器spend 十四、数字秒表selclk 十五、抢答器 first -This folder contains 16 examples of VHDL programming, only for readers to learn programming reference. 1, 4 Preset 75MHz-BCD code (plus/minus) count display (ADD-SUB). Second, light cycle display (LED-CIRCLE) 3, seven voting machines vote7 4, Gray code converter graytobin 5, a BCD code adder bcdadder six, four full adder adder4 seven or eight English letter display circuit alpher , 74LS160 counter 74ls160 9, variable-step addition and subtraction counters multicount 10, controllable pulse generator pluse 11, positive and negative pulse width modulation signal generator pluse width of NC 12, sequence detector string 13, a taxi billing spend 14 devices, digital stopwatch selclk 15, Responder first
Platform: | Size: 59392 | Author: 李磊 | Hits:

[Othervctimer

Description: 在VC++中使用多媒体计时器的源代码,就像秒表一样的功能,点击设置计时器后,它就开始工作了,当然,实例本身没有什么价值,核心代码倒是可以灵活运用,尤其是刚学习VC的朋友,对你较有用-In VC++ using the multimedia timer in the source code of the same features as a stopwatch, and click to set the timer, it begins to work, of course, instances of little value in itself, the core code does fit with flexibility, especially those just learning VC friends, more useful to you
Platform: | Size: 13312 | Author: 丹丹 | Hits:

[assembly languageshizhongmiaobiao

Description: 时钟秒表程序,采用汇编语言,有时钟和秒表两种功能-Clock stopwatch program, using assembly language, there are two kinds of clock and stopwatch functions
Platform: | Size: 3072 | Author: panlei | Hits:

[SCMduogongnengpaobiao

Description: 多功能秒表程序,能够实现用按键控制秒表的停,走,还有归零。 -Multi-function stopwatch program stopwatch can be achieved with keypad control of the stop, go, there is zero.
Platform: | Size: 14336 | Author: 曹殿斌 | Hits:

[BREWwatch

Description: brew的stopwatch代码,基本功能实现,略有欠缺。请各位高手指点迷津-code for stopwatch with brew
Platform: | Size: 350208 | Author: cy1017 | Hits:

[SCMmioabiao

Description: 个51单片机的秒表显示程序,要求计时范围是0.000--999.9,精度为0.1秒。有两个开关控制,一个控制计时开始,一个控制计时结束。但是在实验室编译没有问题,却调不出要的效果来,LED数码管那四位一开始应该显示000.0的-A 51 microcontroller stopwatch display program, requested time range is 0.000- 999.9, accuracy of 0.1 seconds. There are two switch control, a control timing begins, the end of a control time. However, there is no problem in the laboratory compile, but not to the effect of adjusting to, LED digital display that the four should be 000.0 in the beginning
Platform: | Size: 1024 | Author: 陈志勇 | Hits:

[SCMmsp430_1602_timer

Description: 采用msp430为主控制器,1602为显示器,实现的功能为简易秒表-Msp430-based controller used in 1602 for display, functions as a simple stopwatch
Platform: | Size: 32768 | Author: 陆万龙 | Hits:

[SCMlessson423

Description: 数码管秒表程序,使用定时器0方式1控制,按下按键有停止开始等功能-Digital stopwatch program
Platform: | Size: 1024 | Author: csdcsd | Hits:

[VHDL-FPGA-Verilog5

Description: 基于FPGA的数字秒表的VHDL设计,论文,有主要程序-FPGA-based VHDL design digital stopwatch, paper, a major program
Platform: | Size: 1024 | Author: 孤星寒 | Hits:

[VHDL-FPGA-Verilog3

Description: 】文章介绍了用于体育比赛的数字秒表的VHDL 设计, 并基于FPGA 在MAXPLUS2 软件下, 采用ALTRA 公司FLEX10K 系列的EPF10K10LC84- 4 芯片进行了计算机仿真-】 This article introduces digital stopwatch for sports competition in the VHDL design and FPGA-based software in MAXPLUS2, using ALTRA company FLEX10K series EPF10K10LC84-4 chip, the computer simulation
Platform: | Size: 50176 | Author: 孤星寒 | Hits:

[SCMDigitadisplaystopwatch

Description: 数码管显示秒表, 第一次按下时, 启动开始计时,第二次按下时,停止,第三次按下时, 归零-Digital display, stopwatch, for the first time pressed, start the timer, the second press, stop, and the third press, the zero
Platform: | Size: 2048 | Author: 刘锋 | Hits:

[SCMexample

Description: 51单片机例程,包括AD,DA,RTC,Timer,INT,秒表,液晶屏等代码。-51 MCU routine, including AD, DA, RTC, Timer, INT, stopwatch, LCD screen, and other code.
Platform: | Size: 354304 | Author: 木易 | Hits:

[SCM8WMB

Description: 51系列单片机,8位数码管秒表程序-8-bit digital stopwatch program
Platform: | Size: 1024 | Author: ruirui | Hits:

[VHDL-FPGA-VerilogModelsimVerilogWatch

Description: Stopwatch Design - ModelSim Vlog Tutorial Required Software: - Model Technology Modelsim 5.4a - Xilinx Development System 3.1i CONTROLS Inputs: * CLK -System clock for the Watch design. * STRTSTOP -Starts and stops the stoopwatch. This is an active-low signal which acts like the start/stop button on a runner s stop-watch. * RESET -Resets the stopwatch to 00.0 after it has been stopped.-Stopwatch Design- ModelSim Vlog Tutorial Required Software: - Model Technology Modelsim 5.4a - Xilinx Development System 3.1i CONTROLS Inputs: * CLK-System clock for the Watch design. * STRTSTOP-Starts and stops the stoopwatch. This is an active-low signal which acts like the start/stop button on a runner s stop-watch. * RESET-Resets the stopwatch to 00.0 after it has been stopped.
Platform: | Size: 39936 | Author: SEEDSTART | Hits:

[CSharpmiaobiao

Description: 秒表模拟,在C#中如何编写秒表程序,含源代码,运行调试程序,结果,可直接运行-Analog stopwatch, how to write in C#, stopwatch program, including source code, run the debugger, the results can be directly run
Platform: | Size: 262144 | Author: 鱼丸 | Hits:

[VHDL-FPGA-Verilogmiaobiao

Description: vhdl实现秒表,功能包括计时、冻结时间显示、暂停-vhdl implementation stopwatch functions, including time, freezing time display, pause
Platform: | Size: 2148352 | Author: nuandong | Hits:
« 1 2 ... 44 45 46 47 48 4950 »

CodeBus www.codebus.net