Welcome![Sign In][Sign Up]
Location:
Search - scrambler

Search list

[Other resourceDiSturb_Code

Description: Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system can be observed around Deinterference signals in time domain and frequency domain waveforms scrambler help understand the concept of the process and Descramble
Platform: | Size: 6450 | Author: 李向坤 | Hits:

[Other resourcebstop

Description: EVM板话音扰码器,使用-D命令展开 C54X带通滤波器汇编文件 -EVM voice scrambler, use-D start C54X order band-pass filter compilation
Platform: | Size: 21459 | Author: 张智 | Hits:

[Other resourcexinyi

Description: ·Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system Encryption can be observed around the signals in time domain and frequency domain waveforms scrambler help understand the concept of the process and Descramble
Platform: | Size: 7128 | Author: 庞俊杰 | Hits:

[Other resourceOFDM_scramble

Description: OFDM中的关于扰码器的个人设计的MATLAB的算法,比较经典的 !-OFDM on the scrambler design of the personal MATLAB algorithm, more classic!
Platform: | Size: 8478 | Author: yeyue | Hits:

[Windows Developscrambler

Description: 一个x^43+1解扰的函数,以前的师兄留下的,希望有人能用得上。-a descrambler about x^43+1.
Platform: | Size: 1024 | Author: 高原红 | Hits:

[Communication-Mobilescrambleanddescrambler

Description: 适合802.11a的scrambler与descrambler的设计,适合OFDM系统设计的初学者,有testbench可供参考-The scrambler and descrambler for 802.11a design, OFDM system design for beginners, there are available for reference testbench
Platform: | Size: 1024 | Author: jiaqi yuan | Hits:

[Crack Hackscr64

Description: a simple project with 64 bit scrambler as data encryption/decryption
Platform: | Size: 1024 | Author: csmr1204 | Hits:

[DSP programscrdsc64

Description: a simple project with 64 bit scrambler as data encryption/decryption
Platform: | Size: 245760 | Author: csmr1204 | Hits:

[Communication-Mobileofdmpaprbpsk

Description: 此程序参照了IEEE 802.11a ,使用了52个子载波。理论期望papr为52.但是由加扰器的存在,所有子载波很难一直的调制-Per the IEEE 802.11a specifications, we 52 have used subcarriers. Given so, the theoretical maximum expected PAPR is 52 (around 17dB). However, thanks to the scrambler, all the subcarriers in an OFDM symbol being equally modulated is unlikely.
Platform: | Size: 1024 | Author: 王小明 | Hits:

[VHDL-FPGA-VerilogDATA_scramble

Description: 扰码器的verilog实现,参考802.11a相关标准-Scrambler in verilog implementation
Platform: | Size: 1024 | Author: | Hits:

[Booksbmul32par

Description: scrambler for IEEE 802.16 PHY
Platform: | Size: 1024 | Author: user1 | Hits:

[VHDL-FPGA-Verilogscrambler_17

Description: this parallel scrambler verilog code -this is parallel scrambler verilog code
Platform: | Size: 322560 | Author: rakhi | Hits:

[VHDL-FPGA-Verilogscrew

Description: 一个好用的扰码器,主要用在光纤通信上面。因为为了保持送给光模块的信号不是全1或者全0-A nice scrambler, mainly used in optical fiber communication above. Because in order to maintain the optical module of the signal is not sent to all 1 or all 0
Platform: | Size: 1024 | Author: 刘金华 | Hits:

[Communication-Mobilescrambler

Description: 801.11p 的扰码器,也可以用作解扰码器-801.11p scrambler can also be used as a descrambler
Platform: | Size: 1024 | Author: Team | Hits:

[VHDL-FPGA-VerilogScrambler

Description: Scrambler most widly used an data transfer operation in PCI.
Platform: | Size: 1024 | Author: Nikhil | Hits:

[Program docscrambler.rtf

Description: It is a simple implementation concept of scrambler used in communication to generate random data .IT is just enough for taking the concept into the practical domain
Platform: | Size: 1024 | Author: canbruce luwang | Hits:

[DSP programscrambler-matlab

Description: it is scrambler using matlab
Platform: | Size: 30720 | Author: hebbar | Hits:

[matlabScrambler

Description: scrambler lte matlab
Platform: | Size: 1024 | Author: matlab | Hits:

[matlabScrambler

Description: 扰码器的matlab函数,可以直接通过主函数调用。适合通信仿真的matlab学习。-Scrambler matlab function can be called directly by the main function. Suitable communication matlab simulation study.
Platform: | Size: 1024 | Author: 尤文 | Hits:

[VHDL-FPGA-Verilogscrambler

Description: Verilog编写的ADC加扰程序(scrambler)里边附有加扰器的说明,实验可以把数据打散,可自行写testbench测试-Verilog prepared by the ADC scrambled program (scrambler) inside with scrambler description, experimental data can be broken up, write their own testbench test
Platform: | Size: 221184 | Author: 王红伟 | Hits:
« 1 23 4 5 »

CodeBus www.codebus.net