Welcome![Sign In][Sign Up]
Location:
Search - scrambler

Search list

[Communicationscrambler

Description: Something which is important for those want to design scrambler
Platform: | Size: 1182981 | Author: jiayanli | Hits:

[SourceCodeV35_Descrambler_Scrambler

Description: ITU-T V35 加扰/解扰 VHDL代码 ITU-T V35 Scrambler Descrambler
Platform: | Size: 1270 | Author: lake@wancom.com.cn | Hits:

[VHDL-FPGA-Verilogpn_code

Description: 系数为4的扰码生成器,并每四位扰码产生一个触发串并转换的触发信号,可用于4b/5b编码的触发信号。verilog程序,带test程序-coefficient of the four scrambler generator, and every four scrambler have triggered a string conversion and the trigger signal can be used to trigger 4b/5b coding signal. Verilog procedures, with test procedures
Platform: | Size: 35840 | Author: 高广鹤 | Hits:

[matlabDiSturb_Code

Description: Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system can be observed around Deinterference signals in time domain and frequency domain waveforms scrambler help understand the concept of the process and Descramble
Platform: | Size: 6144 | Author: 李向坤 | Hits:

[DSP programbstop

Description: EVM板话音扰码器,使用-D命令展开 C54X带通滤波器汇编文件 -EVM voice scrambler, use-D start C54X order band-pass filter compilation
Platform: | Size: 21504 | Author: 张智 | Hits:

[matlabxinyi

Description: ·Matlab加扰解扰仿真系统,可以观察加解扰前后信号的时域和频域波形,有助于理解扰码的概念和解扰的过程-scrambling and de-scrambling Matlab simulation system Encryption can be observed around the signals in time domain and frequency domain waveforms scrambler help understand the concept of the process and Descramble
Platform: | Size: 7168 | Author: | Hits:

[matlabOFDM_scramble

Description: OFDM中的关于扰码器的个人设计的MATLAB的算法,比较经典的 !-OFDM on the scrambler design of the personal MATLAB algorithm, more classic!
Platform: | Size: 8192 | Author: yeyue | Hits:

[Hook api4b5b_6b8b

Description: 4b5b和6b8b扰码接口程序,在CCS6000下测试通过。-4b5b 6b8b scrambler and the interface procedures, the CCS6000 under test.
Platform: | Size: 2048 | Author: 周金喜 | Hits:

[Otherscrambler

Description: 通信系统中扰码的实现,采用Matlab的S函数-Communication System Scramble the realization of Matlab function S
Platform: | Size: 3072 | Author: ZhangYun | Hits:

[Crack Hackscrambler

Description: 扰码程序,利用VHDL语言实现,适合各种通信系统的扰码。-Scrambler procedures, the use of VHDL language, suitable for a variety of communication systems Scrambler.
Platform: | Size: 738304 | Author: 徐劲松 | Hits:

[Program docscrambler

Description: Something which is important for those want to design scrambler
Platform: | Size: 1182720 | Author: jiayanli | Hits:

[VHDL-FPGA-Verilogscrambler

Description: 通信系统中的加扰与解扰程序,用verilog语言实现,有波形文件可以直接查看功能-Communication Systems scrambling and descrambling process, with Verilog language, has waveform files can be directly read features
Platform: | Size: 323584 | Author: 桃子 | Hits:

[OtherPAPR

Description: Obtain the CDF plots of PAPR from an OFDM BPSK transmission specified per IEEE 802.11a specification Per the IEEE 802.11a specifications, we 52 have used subcarriers. Given so, the theoretical maximum expected PAPR is 52 (around 17dB). However, thanks to the scrambler, all the subcarriers in an OFDM symbol being equally modulated is unlikely. Using a small script, the cumulative distribution of PAPR from each OFDM symbol, modulated by a random BPSK signal is obtained
Platform: | Size: 26624 | Author: 陈语恬 | Hits:

[Fax programSBL1_PHDLSPK_Scrambling

Description: lte上行物理信道系统扰码的Scrambling程序-LTE Uplink Physical Channel System Scrambler Scrambling the procedures
Platform: | Size: 7168 | Author: 张伟 | Hits:

[OpenGL programscrambler

Description: Scrambler matlab file is the first block in the WiMAX physical layer chain. Scrambler which receives digital data from MAC layer randomizes the information inorder to avoid long runs of zeros and ones.
Platform: | Size: 1024 | Author: muruga | Hits:

[VHDL-FPGA-VerilogSCRAMBLER

Description: 32位扰码器的verilog代码,编译通过-The Verilog code of 32_bit scrambler
Platform: | Size: 1024 | Author: 朱猪 | Hits:

[Com Portscrambler

Description: 数字通信的扰码程序,保证二进制01的数量基本相同-Digital communications scrambler procedures to ensure the same number of binary 01
Platform: | Size: 1024 | Author: chenxuhui | Hits:

[Windows Developscrambler-descrambler

Description: 5th order scrambler-descrambler function as described in the scrambler wikipedia article.
Platform: | Size: 1024 | Author: Hyperian | Hits:

[Delphi VCLscrambler

Description: Text scrambler. This tool produces text with scrambled letters.Amazing how you can still read this.
Platform: | Size: 1024 | Author: tenikx | Hits:

[OS Developparallel-scrambler

Description: 并行扰码器的实现推导及其结论,非常详细,运用在高速电路上-Parallel implementation of the scrambler is derived and its conclusions, in great detail, using high-speed circuit
Platform: | Size: 220160 | Author: apple_rao | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net