Welcome![Sign In][Sign Up]
Location:
Search - red lights vhdl

Search list

[SCM急救车与交通灯

Description: 急救车与交通灯)(1)南北与东西方向,每个方面由红黄绿三个灯控制(2)南北向: 红(30秒),黄(5秒),绿(25秒)   东西向: 红(35秒),黄(5秒),绿(25秒)   上述基本参数可以根据实际情况自行调整,灯的变化规律与实际路口规律相同,绿灯在最后5秒钟时,黄灯亮,然后红灯亮,不允许两个方面同时亮绿灯。(3)绿灯的时间显示在数码管上进行显示。(4)可以通过一个开关控制,当开关信号为0时,整个交通灯全灭提高要求:(1)设计一个紧急控制开关信号,当紧急开关信号为1时,两个方向的灯全为红灯。紧急开关撤消后,按照开关按下之前的状态继续运行(其参数要保存)。(2)设计一个夜间行车开关,当开关按下后,两个方向都只有黄灯闪烁,其它灯熄灭。(3)设计两个方向的亮时时间可调。-emergency vehicles and traffic lights) (1) North and South and east-west direction, each with three yellow-green from red lights control (2) to the north and south : red (30 seconds), yellow (5 seconds), Green (25 seconds) to things : red (35 seconds), yellow (5 seconds) Green (25 seconds) above basic parameters can be adjusted to the actual situation, the light changes with the actual law of the same intersection, the green light in the final five seconds, the yellow light is on, and then a red light, bright, not two fronts simultaneously bright green. (3) the green light at the time displayed on the digital display control. (4) can be controlled by a switch, when the switch signal to 0, the whole prospect of traffic lights to improve requirements : (1) Design an emergency control switch
Platform: | Size: 1024 | Author: dd | Hits:

[VHDL-FPGA-Verilognclight

Description: 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green light, the duration of 45, morphine seconds.
Platform: | Size: 1024 | Author: 空气 | Hits:

[assembly languageC51CrossLight

Description: 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design of a traffic light controller. 2. Use of learning machine on the LED and set the East, South, West, North 4 direction, the three lights (red, yellow, green). Traffic signal controller normal working hours, the north- and south-bound red light three seconds, two seconds flashing yellow light, green light-three seconds, and so on. East-west direction green three seconds, two seconds flashing yellow light, red light three seconds, and so on. 3. Set two emergency buttons, a north-south control lights, a light control things. When pressing the corresponding key emergency, its control the traffic lights green, the other direction, the traffic lights class. Key to loose control and restore normal traffic control.
Platform: | Size: 10240 | Author: wangpeng | Hits:

[VHDL-FPGA-Verilogtraffic_control

Description: 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green, yellow and red, respectively for the duration of 20 seconds, five seconds and 25 seconds; When special circumstances (such as fire engines, ambulances and the like), in both directions were red light, stop time, when the special circumstances after the controller to restore the original state, continue normal operations
Platform: | Size: 2048 | Author: 飘来的南风 | Hits:

[VHDL-FPGA-Verilogvhdl_traffic

Description: 模拟交通灯实验 模拟路口的红黄绿交通灯的变化过程,用LED 灯表示交通灯,并在数码管上显示当 前状态剩余时间。-simulation experiment simulated traffic lights junction of red, yellow, and green traffic lights to the process of change, said LED lights for traffic lights, and the digital tube display the current state of the remaining time.
Platform: | Size: 199680 | Author: 赵海东 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: xilinx完成一个模拟的十字路口交通信号灯,主干道上的绿灯时间为30s,支干道的绿灯时间为30s,且交通灯从绿变红时,有6s黄灯亮的时间间隔。当然每种状态的倒计时的时间值应显示到LED数码管上。-Xilinx completed in a simulated traffic lights at a crossroads, a main road on the green time for the 30s, branch roads green time for 30s, and red traffic lights from green when 6s yellow light time interval. Of course, each state s countdown time value should be shown on the LED digital tube.
Platform: | Size: 95232 | Author: haolj | Hits:

[Windows DevelopTrafficLight

Description: 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。-The design of a traffic signal controller, by a trunk and a branch road汇合成crossroads at the entrance to set up in each red, green, yellow three-color lights, red light curfew, green permit passage to a yellow light while driving vehicles parked in the ban have time outside the lane.
Platform: | Size: 282624 | Author: zhuzi200803 | Hits:

[VHDL-FPGA-Verilogtrafficlightvhdlcode

Description: VHDL实现的交通灯程序,可以定时南北和东西方向的交通灯信号,控制红黄绿各灯亮的时间,并考虑紧急情况如有救护车通过-VHDL procedures realize the traffic lights, you can regularly north-south and east-west direction of the traffic lights signal control red, yellow, and green lights all the time, and to consider the adoption of an emergency if the ambulance
Platform: | Size: 581632 | Author: dongming | Hits:

[VHDL-FPGA-Verilogledcontrol

Description: 该程序为用vhdl语言编写的彩灯控制程序! 通过状态机实现三个彩灯的状态装换,红灯亮2秒,绿灯亮3秒,黄灯亮1秒! 时钟频率为1HZ! 通过该程序也可以改成交通灯的情况-The procedure for the use of VHDL language Lantern control procedures! Through the state machine to achieve the status of three lantern-for-loaded, two seconds the red light, green light is 3 seconds, 1 seconds yellow light! Clock frequency of 1HZ! Through the program can also change the situation of traffic lights
Platform: | Size: 1024 | Author: 吴明星 | Hits:

[assembly languagemyjiaotongdeng

Description: 本例实现交通灯的控制,用LED显示灯表示交通状态,并以7段数码管显示当前状态剩余秒数。 主干道绿灯亮时,支干道红灯亮,反之依然,二者交替允许通行,主干道每次放行35秒,支干道每次放行25秒。每次由绿灯变为红灯的过程当中,亮光的黄灯作为过度,黄灯时间为5秒。-Example realize the control of traffic lights with LED lights that show the traffic status, and paragraph 7 of the current state of digital tube display the number of seconds remaining. Trunk road when the green light, red light sticks roads, on the contrary still, the two alternating current permit, trunk release per 35 seconds, each route clearance teams and 25 seconds. Every time the green light to red light by the process, the yellow light as the excessive yellow light time was 5 seconds.
Platform: | Size: 465920 | Author: 李东 | Hits:

[assembly languagetraffic

Description: 简单的交通灯,功能为红灯,黄灯,绿灯轮流亮,时间多少可以改变-Simple traffic lights, feature a red light, yellow light, green light rotation, how much time can be changed
Platform: | Size: 1024 | Author: 严作海 | Hits:

[VHDL-FPGA-Verilogtravel

Description: 自己做的vhdl课程设计,交通灯:实现主干道倒计时,分别为30,20,5秒,分情况:当主干道有车时,红黄绿交替,当只一个道路上有车时,那个道的交通灯变绿色,利用max+plus2做成,使用flex8000,epf8282alc84_4只用加一个38译码器模块即可,使用别的板子也可以运行-VHDL to do their own curriculum design, traffic lights: the realization of the trunk road countdown, 30,20,5 seconds, respectively, sub-cases: When there are car trunk, red, yellow, and green alternately, when there is only a road car, the Road change traffic lights green, the use of max+ plus2 make, use flex8000, epf8282alc84_4 only 38 plus a decoder module can, use the other board can also run
Platform: | Size: 529408 | Author: 安治州 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng

Description: 1). 用红、绿、黄三色发光二极管作信号灯。主干道为东西向,有红、绿、黄三个灯;支干道为南北向,也有红、绿、黄三个灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠到禁行线之外。 2).由于主干道车辆较多而支干道车辆较少,所以主干道绿灯时间较长。当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮红灯,两者交替重复。主干道每次放行50秒,支干道每次放行30秒。 在每次由亮绿灯变成亮红灯的转换过程中间,需要亮5秒的黄灯作为过渡,以使行驶中的车辆有时间停靠到禁行线以外。 3). 能实现正常的、即时显示功能。用DE2上的四个七段数码管作为倒计时显示器。分别显示东西、南北方向的红灯、绿灯、黄灯时间。 4).能实现特殊状态的功能显示。设S为特殊状态的传感器信号,当S=1时,进入特殊状态。当S=0时,退出特殊状态。按S后,能实现特殊状态功能: (1)显示器闪烁; (2)计数器停止计数并保持在原来的数据; (3)东西、南北路口均显示红灯状态; (4)特殊状态结束后,能继续对时间进行计数。 5).能实现总体清零功能。按下R后,系统实现总清零,计数器由初始状态开始计数,对应状态的指示灯亮。 -1). With red, green, yellow three-color light-emitting diodes for lights. For the east-west trunk road, has red, green, yellow three lights support for the north-south trunk road, there are red, green, yellow three lights. Red light curfew green permit passage yellow light is to the moving vehicles have the time of call to cut outside the lane. 2). Because of the trunk road vehicles more vehicles and less trunk extension, so a longer green time of a main road. When the main road access permit a green light when the trunk road red sticks. Permit access roads and support a green light when the trunk road red, the two alternating repetition. Allowed 50 seconds for each trunk, branch trunk release each 30 seconds. At each green light into red by the conversion process between the need for five seconds of yellow light as a transitional measure to enable the moving vehicles have the time of call to ban outside lane. 3). To achieve a normal, real-time display. Using DE2 four seventh
Platform: | Size: 2048 | Author: 靓仔 | Hits:

[Embeded-SCM DevelopVHDl

Description: 基于VHDL实现的十字路口交通灯功能,其中包括红灯,黄灯,绿灯。-VHDL-based implementation of traffic lights at the crossroads of features, including red, yellow, green.
Platform: | Size: 24576 | Author: 李国村 | Hits:

[assembly languagetrafficlight

Description: 实现十字路口交通灯控制,红灯亮时间为40s,绿灯亮时间为35s,黄灯亮5s,黄灯会在绿灯亮完后闪烁5s-To achieve control of traffic lights at the crossroads, the red light time to 40s, the green light time of 35s, bright yellow 5s, yellow light will blink green after 5s
Platform: | Size: 4096 | Author: 戴路 | Hits:

[VHDL-FPGA-Verilogsy9

Description: 交通灯 VHDL 程序,程序功能:红灯绿灯各9秒,黄灯3秒-Traffic lights VHDL program, the program features: 9 seconds of red light and green light, 3 seconds of yellow light
Platform: | Size: 1024 | Author: felix | Hits:

[VHDL-FPGA-Verilogtraficlight

Description: 小学期做的交通灯程序,实现红,黄,绿灯按实际情况比例显示,黄灯有闪烁功能;系统有复位和紧急暂停功能-Primary process of doing the traffic lights to achieve red, yellow, green ratio of the actual situation shows that there are flashing yellow light function system reset and emergency Pause
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 2人抢答器 简易循环彩灯(红灯3s,绿灯2s,黄灯1s) 交通灯-2 Responder simple cycle lights (red 3s, green 2s, yellow 1s) traffic lights
Platform: | Size: 149504 | Author: yu | Hits:

[VHDL-FPGA-Verilogtraficlight

Description: 交通灯程序,有红绿黄LED输出、蜂鸣器输出及数码管时间显示输出。晶振采用48MHz。-Traffic lights program, a red, green and yellow LED output, buzzer output, and digital time display output. Crystal with 48MHz.
Platform: | Size: 447488 | Author: 夏江南 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng-VHDL

Description: with two sets of red, yellow, and green lights as the two directions of red, yellow, green with two sets of digital control things and north-south direction as a countdown to show time for the red light 45 seconds 50 seconds green, yellow 5 seconds the actual traffic light in accordance with the rules, so that red, yellow, green and-(1) with two sets of red, yellow, and green lights as the two directions of red, yellow, green (2) with two sets of digital control things and north-south direction as a countdown to show time for the red light 45 seconds 50 seconds green, yellow 5 seconds (3) the actual traffic light in accordance with the rules, so that red, yellow, green and
Platform: | Size: 7168 | Author: 谢昊 | Hits:
« 12 3 »

CodeBus www.codebus.net