Welcome![Sign In][Sign Up]
Location:
Search - randomizer vhdl

Search list

[Communication-Mobilerandomizer

Description: randomizer uused for wimax application impelemented using vhdl
Platform: | Size: 22528 | Author: ahmed | Hits:

[CommunicationTurbo

Description: 利用3GPP交织器和LTE交织器完成turbo码的仿真并做比较,不同解码算法的比较-Using 3GPP Interleaver and complete LTE interleaver turbo code simulation and comparison, a comparison of different decoding algorithms
Platform: | Size: 112640 | Author: 老五 | Hits:

[VHDL-FPGA-Verilograndomizer

Description: randomizer for wi max pys
Platform: | Size: 1024 | Author: beshoy | Hits:

[VHDL-FPGA-Verilograndomizervhdl

Description: Randomizer Vhdl he RTL now is working correctly, and the TB also is working but there is a problem in the sequence of the reset and and the load
Platform: | Size: 1024 | Author: amrnour | Hits:

CodeBus www.codebus.net