Welcome![Sign In][Sign Up]
Location:
Search - quartus pwm

Search list

[VHDL-FPGA-Verilognew_pwm

Description: 一个PWM参数器,里面有所有仿真结果,在QUARTUS软件打开,自己设计的-A PWM parameters, and there are all the simulation results, in the Quartus software to open, own design
Platform: | Size: 231424 | Author: 杨杨 | Hits:

[VHDL-FPGA-VerilogAvalonPwm

Description: SOPC实验--Hello World实验:启动Quartus II软件,选择File→New Project Wizard,在出现的对话框中填写项目名称 2、 点击Finish,然后选择“是”。选择Assignments→Device,改写各项内容。Family改为CycloneII,根据实验板上的器件选择相应的器件,本实验选择EP2C5T144C8,点击对话框中的Device & Pin Options,在Configuration中,选项Use Configuration Device为EPCS1,选项Unused Pins为As inputs,tri-stated.-SOPC Experimental Hello World Experiment: Start Quartus II software, choose File → New Project Wizard, in the resulting dialog box fill in the name of 2 items, click Finish, and then select
Platform: | Size: 1463296 | Author: 黄龙 | Hits:

[VHDL-FPGA-Verilogpwm-c

Description: 用VHDL编写的PWM控制程序,通过寄存器控制20余路PWM输出;qar是quartus的压缩包格式-VHDL prepared using PWM control procedures, through the registers to control more than 20 road PWM output qar is Quartus compressed packet format
Platform: | Size: 119808 | Author: mu | Hits:

[VHDL-FPGA-VerilogEP1C3_12_1_2_MOTO

Description: 基于FPGA的直流电机的PWM控制和步进电机的细分驱动控制。使用VHDL语言编写,压缩包里是Quartus下的工程。-FPGA-based PWM DC motor control and stepper motor-driven control of a breakdown. The use of VHDL language, compression bag is under the Quartus project.
Platform: | Size: 1193984 | Author: deadtomb | Hits:

[VHDL-FPGA-Verilogservo_module_worked

Description: verilog pwm to control servo motor on quartus
Platform: | Size: 21504 | Author: frankie | Hits:

[VHDL-FPGA-VerilogPWM

Description: verilog pwm to control servo motor on quartus, with microprocessor generated from sopc and connected with sram-verilog pwm to control servo motor on quartus
Platform: | Size: 21504 | Author: frankiecoco | Hits:

[VHDL-FPGA-Verilogpwm

Description: verilog实现PWM 开发环境 QUARTUS II7.0-verilog to achieve PWM development environment QUARTUS II7.0
Platform: | Size: 54272 | Author: exun | Hits:

[VHDL-FPGA-VerilogFPGA_PWM_VHDL

Description: FPGA_EP2C5T144C8电机控制PWM、QUARTUS II 工程文件,非文本文件!可以直接使用!-FPGA_EP2C5T144C8 motor control PWM, QUARTUS II project file, non-text files! Direct access to!
Platform: | Size: 100352 | Author: wangzhaohui | Hits:

[VHDL-FPGA-VerilogBLDCM

Description: 基于Verilog HDL的直流无刷电机控制程序,Quartus II环境下编写。-Verilog HDL for BLDCM Control in Quartus II。
Platform: | Size: 198656 | Author: 琉璃 | Hits:

[VHDL-FPGA-Verilogcomponents

Description: quartus的几个IP核(PWM,RAM,I2C)-quartus several IP core (PWM, RAM, I2C)
Platform: | Size: 226304 | Author: 宋瑞 | Hits:

[VHDL-FPGA-Verilogfpga-pwm

Description: 用verilog 语言写的FPGA子程序,环境是quartus II 7.2 已经在EP1C6Q240上测试过,源码包含仿真文件和仿真结果,本程序可以直接嵌入做子程序使用。-FPGA with the verilog language written subroutines, the environment is quartus II 7.2 has been tested on EP1C6Q240, source code contains the simulation files and simulation results, this procedure can be embedded directly used to do routines.
Platform: | Size: 1163264 | Author: 黄家武 | Hits:

[VHDL-FPGA-Verilogpwm

Description: 在Quartus 9.0 下实现的PWM IP核设计,周期占空比均可调。-PWM IP core design,which period and duty is adjustable.
Platform: | Size: 1024 | Author: zgm | Hits:

[VHDL-FPGA-Verilogstatemation-for-PWM-

Description: 基于状态机对步进电机的操作,利用VHDL语言编写,在Quartus 8.1环境下测试通过,可以建立波形文件做仿真实验-stepper motor based on ststemation
Platform: | Size: 211968 | Author: youungsky | Hits:

[VHDL-FPGA-VerilogPWM-waveform

Description: 用Altera Quartus II 的VHDL语言完成的PWM波形产生的源代码-Altera Quartus II VHDL with the completion of the PWM waveform generation language source code
Platform: | Size: 446464 | Author: nanana | Hits:

[VHDL-FPGA-VerilogPWM_Module

Description: Very clean design of a PWM module made in structural VHDL. Lower blocks are behavioral.Designed in Quartus 9.0,
Platform: | Size: 1713152 | Author: nada | Hits:

[VHDL-FPGA-VerilogPWM

Description: 用Verilog编写的PWM产生器,已经在cyclon DE2板子上测试通过,建议用Quartus 10.1综合。-PWM generator using Verilog.
Platform: | Size: 4525056 | Author: Cristie | Hits:

[VHDL-FPGA-Verilogpwm

Description: 基于SOPC的PWM控制.quartus -PWM control based on SOPC.quartus ii
Platform: | Size: 14744576 | Author: zhangchaofan | Hits:

[VHDL-FPGA-VerilogFPGA-PWM-Quartus

Description: 一种基于FPGA产生PWM波的Quartus程序。 包含15分频器、地址译码器、带死区的PWM发生器、计数实现的三角波发生器。-An FPGA-based PWM wave generated Quartus program. Contains 15 dividers, address decoders, PWM generator with dead counted achieve triangular wave generator.
Platform: | Size: 1049600 | Author: 叶东林 | Hits:

[Windows DevelopPWM

Description: 用Verilog实现的脉冲宽度调制程序,在quartus平台上测试成功。(Using Verilog implementation of pulse width modulation, in quartus platform test successfully.)
Platform: | Size: 151552 | Author: 莫五张 | Hits:

[OtherPWM_Basic

Description: code for pwm code for pwm usnig quartus 2
Platform: | Size: 175104 | Author: alexsan07 | Hits:
« 12 »

CodeBus www.codebus.net