Welcome![Sign In][Sign Up]
Location:
Search - pwm generator using vhdl

Search list

[VHDL-FPGA-VerilogVHDL

Description: PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示:  FPGA中PWM脉宽调制信号产生电路;  FPGA中正/反转方向控制电路 -PWM control is a certain cycle, a different duty cycle square wave signal, when the duty cycle is high, higher motor speed, or lower motor speed. When the PWM waveform generated using FPGA, the FPGA internal resources only can be achieved, the figure one comparator output termination setting, the other linear incremental counter output termination. When the value of linear counter output is less than low-level settings, when the counter output is greater than high settings, so that by changing the settings can produce different duty cycle square wave signal, DC motor control to achieve the purpose of speed. DC motor control circuit mainly by 2 parts, as shown in Figure 1:  FPGA in the PWM pulse width modulation signal generator circuit Chiang Kai-shek  FPGA/reverse direction control circuit
Platform: | Size: 37888 | Author: 袁玉佳 | Hits:

[Windows DevelopPWM

Description: PWM generator made in VHDL
Platform: | Size: 2048 | Author: pumaju | Hits:

[VHDL-FPGA-Verilogpwm

Description: PWM脉冲产生代码,程序采用VHDL硬件描述语言!很有参考价值-PWM pulse generation code, the program using VHDL hardware description language! Useful reference
Platform: | Size: 76800 | Author: 周涛 | Hits:

[VHDL-FPGA-Verilogsamlecode.vhdl

Description: THis code describes how to use the pwm singal generator and how to generate this using VHDL>
Platform: | Size: 17408 | Author: Jas | Hits:

[VHDL-FPGA-Verilogpwm_ok_PWM

Description: Learning FPGA students can see, this code written by PWM generator using VHDL language, not only can learn QUARTUS software, also can better enhance the digital circuit design.
Platform: | Size: 169984 | Author: 朱家林 | Hits:

[VHDL-FPGA-Verilogpwm_ok_PWM

Description: 用VHDL实现占空比任意可调的PWM产生器。(程序逐行注释),有仿真图。PWM,即Pulse-Width Modulation 脉宽调制,常用于电机的控制中。-Using VHDL adjustable duty cycle of PWM generator. (Progressive program notes), a simulation map. PWM, i.e. Pulse-Width Modulation PWM, used to control the motor.
Platform: | Size: 178176 | Author: 豆传航 | Hits:

CodeBus www.codebus.net