Welcome![Sign In][Sign Up]
Location:
Search - ppm vhdl

Search list

[Other resourceVHDL

Description: 运用vhdl程序设计语言进行ppm设计,ppm 设计在vhdl语言中非常常用,运用已经越来越广泛。-use vhdl program design language ppm design, vhdl ppm design in a very common language, has become increasingly widespread use.
Platform: | Size: 3776 | Author: 李里 | Hits:

[Communicationppm

Description: 利用VHDL语言编写程序,实现PPM的调制功能,大家一起完善!
Platform: | Size: 1329 | Author: 陈晓红 | Hits:

[Software EngineeringPPM-PPT

Description: 项目管理的PDF文件.从PSP-TSP-CMMI. 内有说明,很不错不错的文档-project management PDF file. From PSP- TSP-CMMI. With a description of quite good documentation
Platform: | Size: 407552 | Author: 我是谁 | Hits:

[Communicationppm

Description: 利用VHDL语言编写程序,实现PPM的调制功能,大家一起完善!-The use of VHDL language procedures realize PPM modulation functions, together with improving the U.S.!
Platform: | Size: 1024 | Author: 陈晓红 | Hits:

[Communication-Mobileuwbsystemmatlab

Description: 《超宽带无线电基础》配套程序,Maria-Gabriella Di Benedetto Guerino Giancola著
Platform: | Size: 65536 | Author: 张晓娟 | Hits:

[VHDL-FPGA-VerilogPPM

Description: ppm脉位调制数字基带系统的设计,包括完整的QuartusII工程和Modelsim仿真文件-ppm PPM digital base-band system design, including complete engineering and QuartusII ModelSim simulation files
Platform: | Size: 254976 | Author: wanyou | Hits:

[Communication-MobileppmVHDL

Description: 红外数据传输速率为4Mbit/s时的编解码4PPM,用vhdl实现的源代码,-Infrared data transfer rate of 4Mbit/s when the codec 4PPM, using VHDL implementation of the source code,
Platform: | Size: 4096 | Author: qin | Hits:

[VHDL-FPGA-Verilogfpga

Description: 无线光通信技术具有通信容量大、传输速率高等众多优点, 在许多场合都有重要的应用, 是现代通信技术研究的一个热点。由于脉冲位置调制 ( PPM ) 有较高的平均功率利用率和抗干扰能力, 故 PPM是无线光通信系统中常用的调制方式。在研究 PPM调制技术的基础上, 就基于 FPG A的无线光通信 PPM调制系统进行设计, 并用 V H D L语言完成了系统的设计和仿真。仿真结果表明, 该设计具有正确性和合理性。-Wireless optical communication technology has the communications capacity, many of the benefits of higher transmission rates, in many occasions have important applications in modern communication technologies are a hot research. Because of pulse position modulation (PPM) have a higher average power utilization and anti-interference ability, so PPM is a wireless optical communication system commonly used in modulation. PPM modulation technique in the study on the basis of FPG A based on wireless optical communication PPM modulation system design, and VHDL language achieve the system design and simulation. Simulation results show that the rationality of the design right.
Platform: | Size: 194560 | Author: 朱雯 | Hits:

[VHDL-FPGA-Verilogppm

Description: ppm编码,本程序为编码的硬件语言的实现,包含测试文件,同时编译便可出结果-ppm coding procedures for the encoding of the language of the hardware implementation, including test papers, the results will be compiled at the same time
Platform: | Size: 2048 | Author: lu xin | Hits:

[Software Engineeringppm

Description: ppm是通信类系统比较重要的前段部件,本设计只给出vhdl的代码实现,并给出测试。-ppm decoder
Platform: | Size: 1024 | Author: Yin Guohe | Hits:

[VHDL-FPGA-Verilogpmw2ppm

Description: Vhdl code PPM to pwm converte
Platform: | Size: 4096 | Author: SANTOSH | Hits:

[source in ebookppm

Description: 一种基于数据的ppm编码的vhdl实现,包含测试文件-Ppm based on the data encoded in vhdl implementation, including the test file
Platform: | Size: 1024 | Author: 王佳 | Hits:

[Booksppm

Description: 这是一个我写的ppm的编码器的代码,其中有个计数器没写对,不过总的思路是不错的,供大家借鉴-this is a ppm comlier,simple,and there is a small error in it which needs to change ,if i rewrite it,i will load it again
Platform: | Size: 1024 | Author: WEIMIN | Hits:

[VHDL-FPGA-Verilogmp

Description: ppm modultion by vhdl
Platform: | Size: 228352 | Author: bahram | Hits:

[GIS programdoc2324

Description: ppm modulaton explain
Platform: | Size: 145408 | Author: bahram | Hits:

[VHDL-FPGA-Verilogtest

Description: PPM编码的VHDL实现,可实现8位并行输入数据转换为串行的PPM编码-PPM coded VHDL implementation can be realized 8-bit parallel input data into a serial coded PPM
Platform: | Size: 353280 | Author: 李雨 | Hits:

[VHDL-FPGA-VerilogPPM_Coder

Description: PPM 编码器 按照PPM编码格式编写的普通VHDL代码-PPM PPM encoder encoding format prepared in accordance with the ordinary VHDL code
Platform: | Size: 1024 | Author: newly | Hits:

[Communication-Mobilecode

Description: <基于Verilog HDL的通信系统设计>源码,包含ASK,FSK,PSK,QPSK,PPM等的调制解调-< Verilog HDL-based communication system design> source, including ASK, FSK, PSK, QPSK, PPM and other modem
Platform: | Size: 6144 | Author: cjl | Hits:

[VHDL-FPGA-Verilogppm

Description: 实现PPM编码,经测试,准确可用。现在正在调解码器。不久也可以上传。-Implement PPM encoding, tested and accurate available. Now mediate codec. Can also be uploaded soon.
Platform: | Size: 1024 | Author: chenbing | Hits:

[Otherppm

Description: 使用fpga达成的最短脉冲检测、窄脉冲成型模块程序,语言为vhdl,可用于ppm调制与解调项目设计(The program of the shortest pulse detection based on FPGA is VHDL, which can be used in PPM modulation and demodulation project design)
Platform: | Size: 4096 | Author: ppm调制与解调 | Hits:
« 12 »

CodeBus www.codebus.net