Welcome![Sign In][Sign Up]
Location:
Search - perl veril

Search list

[VHDL-FPGA-Verilogverilog_testbench_genetator

Description: 这是一个perl程序 只需要在cmd中运行,参数为你的Verilog名字 功能是:半自动生成Verilog的testbench,提高编码效率-#-----READ ME of verilog_tb_generate.pl----------------------| # | #-----copyright(C) Xzmeng 2010-------------------------------| # | #Date:2010-12-18 21:55:48------------------------------------| # | #Run the pl followed with the verlog file name,such as aaa.v | #Put the original verilog file(.v) in the current directory. | #------------------------------------------------------------| # | #And you need to gurrantee that there is only one "input" or | #"output" per line. | # | #------------------------------------------------------------|
Platform: | Size: 2048 | Author: zishan | Hits:

CodeBus www.codebus.net