Welcome![Sign In][Sign Up]
Location:
Search - modules of aes

Search list

[OtherCipherOne6.0

Description: 本软件代码所有权属于Scaton Software Co.,你可以任意使用该代码,但不得以商业形式整体或整模块使用本代码。 scaton, 2006.2.16 CipherOne 加密钻石锁软件代码模块介绍: Zlib---zip压缩静态库代码,GNU代码 Rsaref---Rsa密钥处理及各算法代码库,RSA Lab拥有版权,Scaton Software增加了AES和IDEA算法代码 RsaKern---本软件加密、解密处理核心模块,Scaton Software拥有版权 CipShext---资源管理器扩展模块(右键菜单支持),Scaton Software拥有版权 CipherOne---加密、解密主界面程序,Scaton Software拥有版权 BCGCBPro---界面增强库,BCGSoft拥有版权 TLCtrl---树型组合列表控件代码,Tiger X原创,Scaton Software整理、排错,并添加排序功能等。 -ownership of the software code is Scaton Software Co.. , you can use the arbitrary code, but not on a commercial basis in whole or in the use of the entire module code. Scaton, 2006.2.16 CipherOne Diamond encryption locks on software code modules : Zlib --- zip code static libraries, GNU code Rsaref --- Rsa key processing algorithm and the code base, RSA Lab copyright owner. Scaton Software increased AES algorithm and IDEA -- the code RsaKern software encryption, decryption processing core module, Software copyright owner Scaton CipShext --- Resource Manager extension (right keys menu support), Scaton Software copyright owner CipherOne --- encryption, the main interface decryption procedures, Software copyright owner Scaton BCGCBPro --- enhanced interface library copyright TLCtrl BCGSoft -
Platform: | Size: 2314554 | Author: wuyadong | Hits:

[Crack HackAES

Description: 通过这道程序的编写,进一步加深了对AES的理解,也了解了模块化设计的基本思想,编程的时候,把一个大任务分解是很重要的,针对相应的子任务所编写的一段功能独立的代码或一个功能模块,在程序设计时,我们可以把程序中功能相对独立的模块用函数来实现。这样做,不仅可以使程序条理清晰,便于维护。而且,通过重复使用某些函数,可以节省软件开发时间。对一个大问题先从一个大的全局上去考虑,分成几个大的模块,将每个大的模块进一步分解。该程序就是这样的思想,将加密分成三大步骤,对第二大步骤的9次循环的每次都要进行4个操作。编程时就先编出小模块,然后就可以很顺利的编出大的模块。这样可以节约编程的时间。-Road through this program, further deepening the understanding of AES, understand the modular design of the basic idea, programming time, a major task decomposition is very important. in light of the corresponding sub-tasks of the section prepared by the functional independence of code or a function module, in the process, we can process relatively independent of the functional modules use the function to be achieved. To do so, so that procedures can not only lucid, easy to maintain. Furthermore, by the repeated use of some functions, the software can save development time. A big problem for the benefit of a big boost to the overall consideration, divided into several major modules, each of the major modules further decomposition. The program is the idea of encryption is divided into three
Platform: | Size: 3199 | Author: 陈剑峰 | Hits:

[Other resourceCS2003081aes

Description: 非常经典的AES加密算法接口及演示程序 ,可作课程设计使用 (* 介绍 *) AES 是一种使用安全码进行信息加密的标准。 它支持 128 位、192 位和 256 位的密匙。 加密算法的实现在 ElAES.pas 单元中。 本人将其加密方法封装在 AES.pas 单元中, 只需要调用两个标准函数就可以完成字符串的加密和解密。 (* 密匙长度 *) 128 位支持长度为 16 个字符 192 位支持长度为 24 个字符 256 位支持长度为 32 个字符 所有加密和解密操作在默认情况下为 128 位密匙。-very classic AES encryption algorithm interface and demo program, curriculum design can be used (* Introduction *) AES is a safety code for the use of information encryption standards. It supports 128, 192 and 256 of the keys. Encryption Algorithm of the ElAES.pas modules. I will package its encryption method in AES.pas units, only two standard function call can be completed string encryption and decryption. (* Key length *) 128 support for the length of 16 characters in length 192 supports 24 to 256 characters support length of 32 characters all encryption and decryption operations in default under 128 keys.
Platform: | Size: 54019 | Author: 林靖然 | Hits:

[Crack HackAEScode11

Description: AES 是一种使用安全码进行信息加密的标准。 它支持 128 位、192 位和 256 位长度的密匙。 加密算法的实现在 ElAES.pas 单元中。 本人将其加密方法封装在 AES.pas 单元中, 只需要调用两个标准函数就可以完成字符串的加密和解密。-AES is a safety code for the use of information encryption standards. It supports 128, 192 and 256 length of the keys. Encryption Algorithm of the ElAES.pas modules. I will be its encryption method in AES.pas Packaging unit, only need two standard function call can be completed string encryption and decryption.
Platform: | Size: 203449 | Author: 唐伯虎 | Hits:

[OtherCipherOne6.0

Description: 本软件代码所有权属于Scaton Software Co.,你可以任意使用该代码,但不得以商业形式整体或整模块使用本代码。 scaton, 2006.2.16 CipherOne 加密钻石锁软件代码模块介绍: Zlib---zip压缩静态库代码,GNU代码 Rsaref---Rsa密钥处理及各算法代码库,RSA Lab拥有版权,Scaton Software增加了AES和IDEA算法代码 RsaKern---本软件加密、解密处理核心模块,Scaton Software拥有版权 CipShext---资源管理器扩展模块(右键菜单支持),Scaton Software拥有版权 CipherOne---加密、解密主界面程序,Scaton Software拥有版权 BCGCBPro---界面增强库,BCGSoft拥有版权 TLCtrl---树型组合列表控件代码,Tiger X原创,Scaton Software整理、排错,并添加排序功能等。 -ownership of the software code is Scaton Software Co.. , you can use the arbitrary code, but not on a commercial basis in whole or in the use of the entire module code. Scaton, 2006.2.16 CipherOne Diamond encryption locks on software code modules : Zlib--- zip code static libraries, GNU code Rsaref--- Rsa key processing algorithm and the code base, RSA Lab copyright owner. Scaton Software increased AES algorithm and IDEA-- the code RsaKern software encryption, decryption processing core module, Software copyright owner Scaton CipShext--- Resource Manager extension (right keys menu support), Scaton Software copyright owner CipherOne--- encryption, the main interface decryption procedures, Software copyright owner Scaton BCGCBPro--- enhanced interface library copyright TLCtrl BCGSoft-
Platform: | Size: 2314240 | Author: | Hits:

[Crack HackAES

Description: 通过这道程序的编写,进一步加深了对AES的理解,也了解了模块化设计的基本思想,编程的时候,把一个大任务分解是很重要的,针对相应的子任务所编写的一段功能独立的代码或一个功能模块,在程序设计时,我们可以把程序中功能相对独立的模块用函数来实现。这样做,不仅可以使程序条理清晰,便于维护。而且,通过重复使用某些函数,可以节省软件开发时间。对一个大问题先从一个大的全局上去考虑,分成几个大的模块,将每个大的模块进一步分解。该程序就是这样的思想,将加密分成三大步骤,对第二大步骤的9次循环的每次都要进行4个操作。编程时就先编出小模块,然后就可以很顺利的编出大的模块。这样可以节约编程的时间。-Road through this program, further deepening the understanding of AES, understand the modular design of the basic idea, programming time, a major task decomposition is very important. in light of the corresponding sub-tasks of the section prepared by the functional independence of code or a function module, in the process, we can process relatively independent of the functional modules use the function to be achieved. To do so, so that procedures can not only lucid, easy to maintain. Furthermore, by the repeated use of some functions, the software can save development time. A big problem for the benefit of a big boost to the overall consideration, divided into several major modules, each of the major modules further decomposition. The program is the idea of encryption is divided into three
Platform: | Size: 3072 | Author: 陈剑峰 | Hits:

[Software EngineeringCS2003081aes

Description: 非常经典的AES加密算法接口及演示程序 ,可作课程设计使用 (* 介绍 *) AES 是一种使用安全码进行信息加密的标准。 它支持 128 位、192 位和 256 位的密匙。 加密算法的实现在 ElAES.pas 单元中。 本人将其加密方法封装在 AES.pas 单元中, 只需要调用两个标准函数就可以完成字符串的加密和解密。 (* 密匙长度 *) 128 位支持长度为 16 个字符 192 位支持长度为 24 个字符 256 位支持长度为 32 个字符 所有加密和解密操作在默认情况下为 128 位密匙。-very classic AES encryption algorithm interface and demo program, curriculum design can be used (* Introduction*) AES is a safety code for the use of information encryption standards. It supports 128, 192 and 256 of the keys. Encryption Algorithm of the ElAES.pas modules. I will package its encryption method in AES.pas units, only two standard function call can be completed string encryption and decryption. (* Key length*) 128 support for the length of 16 characters in length 192 supports 24 to 256 characters support length of 32 characters all encryption and decryption operations in default under 128 keys.
Platform: | Size: 53248 | Author: 林靖然 | Hits:

[Crack HackAEScode11

Description: AES 是一种使用安全码进行信息加密的标准。 它支持 128 位、192 位和 256 位长度的密匙。 加密算法的实现在 ElAES.pas 单元中。 本人将其加密方法封装在 AES.pas 单元中, 只需要调用两个标准函数就可以完成字符串的加密和解密。-AES is a safety code for the use of information encryption standards. It supports 128, 192 and 256 length of the keys. Encryption Algorithm of the ElAES.pas modules. I will be its encryption method in AES.pas Packaging unit, only need two standard function call can be completed string encryption and decryption.
Platform: | Size: 202752 | Author: 唐伯虎 | Hits:

[Crack Hackaes_core

Description: Verilog实现AES加密算法 密码模块作为安全保密系统的重要组成部分,其核心任务就是加密数据。分组密码算法AES以其高效率、低开销、实现简单等特点目前被广泛应用于密码模块的研制中。密码模块一般被设计成外接在主机串口或并口的一个硬件设备或是一块插卡,具有速度快,低时延的特点。而从整体发展趋势来看,嵌入式密码模块由于灵活,适用于多种用户终端、通信设备和武器平台,将会得到更加广泛的应用-AES encryption algorithm realize Verilog module password security system as an important part of its core mission is to encrypt the data. AES block cipher algorithm for its high efficiency, low overhead, simple features such as the current password is widely used in research and development modules. Password modules are generally designed to host external serial or parallel port of a hardware device or a card with a high speed, low latency characteristics. From the overall development trend, the embedded code module as a result of flexible and applicable to many user terminals, communications equipment and weapons platforms, will be more widely applied
Platform: | Size: 79872 | Author: yuansuchun | Hits:

[WEB CodeMoAspEnginer_v3.1.1.392

Description: MoAspEnginer是一款基于JScript的ASP开源MVC框架。 单文件入口。 代码和程序的真正分离。 模板编译ASP代码。 支持类库扩展以及模板自定义标签扩展。 支持多种数据库,默认支持ACCESS、MSSQL、MYSQL、SQLITE,可自定义其他类型数据库。 支持多数据库操作。 表单验证支持,HTTP请求数据可直接用来更新数据库。 提供HttpRequest,HttpUpload,Soap,OAUTH2.0等模块。 提供CryptoJS,提供AES/DES/RC4/Rabbit/pbkdf2/ripemd160等算法。-MoAspEnginer is an open source MVC framework based on ASP JScript. Single file entry. Real separation of code and program. Template compiled ASP code. Support class library extensions and template custom tag extensions. Supports a variety of s, the default support for ACCESS, MSSQL, MYSQL, SQLITE, can be customized to other types of s. Support for multi operation. Form validation support, HTTP request data can be directly used to update the . Provide HttpRequest, HttpUpload, Soap, OAUTH2.0 and other modules. Provide CryptoJS, AES/DES/RC4/Rabbit/pbkdf2/ripemd160 and other algorithms.
Platform: | Size: 203776 | Author: ppudn40 | Hits:

[VHDL-FPGA-VerilogAES加密算法密码模块

Description: 其实现了AES加密中的密码模块,包含了功能的说明,模块以及测试用例,学习上手的难度较小(The realization of the AES encryption password module, contains a description of the function modules and test cases, learning difficult to get started)
Platform: | Size: 78848 | Author: 未曾走远 | Hits:

CodeBus www.codebus.net