Welcome![Sign In][Sign Up]
Location:
Search - matlab mif

Search list

[Othermif

Description: 使用metlab生产正弦波和三角波的采样值,供vhdl等语言调用来产生波形-use metlab production sine wave and triangular wave of sampling, for languages such as call vhdl to generate waveforms
Platform: | Size: 1024 | Author: feng | Hits:

[MiddleWareMIF_create

Description: MIF文件生成器 用于quartus II等软件的ROM表mif文件生成-MIF file generator quartus II software for the ROM table to generate mif file
Platform: | Size: 221184 | Author: | Hits:

[VHDL-FPGA-Verilogug_lpm_rom

Description: quartus rom的生成 运用matlab生成.mif或.hex文件 载入rom表-quartus rom the use of matlab generated generation. mif or. hex file loading rom Table
Platform: | Size: 824320 | Author: 王欣欣 | Hits:

[OtherMATLABcreatmif

Description: 产生mif文件的函数,简单易用,来自CSDN,非常感谢原创者-creat mif file.from the www
Platform: | Size: 128000 | Author: seasonroad | Hits:

[VHDL-FPGA-Verilogmiffile

Description: 用matlab产生mif文件。(Altera的EDA软件,如maxplus,quartus等用到的初始化rom,ram等的文件格式)-Mif files generated by matlab. (Altera' s EDA software, such as maxplus, quartus used to initialize and so on rom, ram, such as the file format)
Platform: | Size: 1024 | Author: 何亮 | Hits:

[Graph programtakecolor

Description: 截取屏幕像素,以RGB,HEX,HTML格式-Interception of screen pixels to RGB, HEX, HTML format
Platform: | Size: 174080 | Author: wsswza | Hits:

[VHDL-FPGA-Verilogbxfsq

Description: 用VHDL代码实现的0-40000任意分频,具体分频数可以自己参考进行修改.并用matlab写好各种波形图的MIF文件,然后实现FPGA的一个多功能波形生成器! (平时的课程设计)-Achieved using VHDL code 0-40000 arbitrary frequency, the specific sub-frequency reference can be modified. Matlab written by a variety of waveforms of MIF file, and then the realization of a multi-FPGA Waveform Generator! (Usual curriculum design)
Platform: | Size: 641024 | Author: | Hits:

[VHDL-FPGA-Verilogmatlab_quartus_ii_MIF

Description: matlab quartus ii MIF
Platform: | Size: 10240 | Author: wangzhaohui | Hits:

[File Format61EDA_D462

Description: 用MATLAB生成mif and hex(QUARTUS II)内存初始化文件简介-Using MATLAB to generate mif and hex (QUARTUS II) Memory initialization file About
Platform: | Size: 62464 | Author: sophie | Hits:

[matlabMATLABgeneratemifhex

Description: MATLAB生成mif、hex(QUARTUS II)文件简介-MATLAB generate mif, hex (QUARTUS II) paper provides a brief
Platform: | Size: 128000 | Author: Kevin | Hits:

[matlabgen_mif_1000

Description: 产生1000点正弦波、三角波、锯齿波的ALTERA MIF文件的源程序,可改为任意点.-1,000 points generated sine wave, triangle wave, sawtooth wave of ALTERA MIF file source, can be changed at any point.
Platform: | Size: 1024 | Author: 王晓君 | Hits:

[DSP program02731336mif

Description: 波形发生Mif文件 三角波 方波 正弦波-Waveform Mif file sine wave square wave triangle wave
Platform: | Size: 1024 | Author: 丽丽 | Hits:

[matlabcreat-mif-documents-by-MATLAB

Description: 利用MATALB产生数据表并以.mif文件格式保存-create a .mif documents by MATLAB
Platform: | Size: 136192 | Author: yzy | Hits:

[Communication-Mobilesin.mif

Description: matlab产生正弦信号的mif文件 借鉴于别人的,不过已经得到验证成功可用-Use the matlab to generate .mif file of sin fuction
Platform: | Size: 2048 | Author: 王小小 | Hits:

[VHDL-FPGA-Verilogrom--mif

Description: 生成fpga的rom查找表的c语言和matlab程序还有一个生成正弦和余弦mif文件的生成器-fpga rom lut
Platform: | Size: 134144 | Author: liuheshan | Hits:

[Othermatlab

Description: matlab产生正弦波,分别是coe和mif格式文件,可以作为xilinx和altera器件厂家ROM初始化文件-matlab generate sine, respectively coe and mif format files can be used as xilinx and altera device manufacturers ROM initialization file
Platform: | Size: 1024 | Author: zma | Hits:

[Video CaptureROM-MIF

Description: 利用MATLAB产生FPGA IP 核ROM,初始化文件,用来初始化ROM的MIF文件-Using MATLAB generates FPGA IP Core ROM, initialization files, MIF file is used to initialize the ROM
Platform: | Size: 83968 | Author: 李辛 | Hits:

[VHDL-FPGA-VerilogMATLAB

Description: 用matlab生成mif文件,分别用两种不同方法,操作简单,实验好用-Mif file generated using matlab, respectively, with two different methods, simple operation, easy to use test
Platform: | Size: 128000 | Author: mr zou | Hits:

[Energy industryMatlab-demo-for-mif

Description: 使用MATLAB自动生成mif格式文件源代码,用于FPGA仿真-Using MATLAB to automatically generate mif format file for FPGA simulation
Platform: | Size: 308224 | Author: wop636 | Hits:

[VHDL-FPGA-Verilogquartus和modelsim中使用mif和hex文件1

Description: quartus和modelsim中使用mif和hex文件1(fpga modelsim mif hex)
Platform: | Size: 52224 | Author: 打酱油啊 | Hits:
« 12 »

CodeBus www.codebus.net