Welcome![Sign In][Sign Up]
Location:
Search - lock

Search list

[VHDL-FPGA-VerilogKeypad-lock

Description: 用4乘4键盘和凌阳A板编写的一个四位密码的键盘锁键盘锁-4 x 4 with Keyboard and Sunplus A board prepared a four-digit PIN keyboard lock keyboard lock
Platform: | Size: 26624 | Author: liuzhisheng | Hits:

[VHDL-FPGA-VerilogLock

Description: 密码锁,含按键操作和液晶显示程序,已测试通过的仿真程序,可参考-Lock, with key operation and LCD display program has been tested through the simulation program, refer to
Platform: | Size: 359424 | Author: zb | Hits:

[SCMcode-lock

Description: this is a coded lock program using C language. It is simple!
Platform: | Size: 3072 | Author: cj | Hits:

[VHDL-FPGA-VerilogTrick-lock-assembly

Description: 基于单片机电子密码锁的汇编完整程序,电路采用LCD1602和外部存储器24C02器件。作为参考,希望对你们有所帮助!-The assembler program. Complete combination lock
Platform: | Size: 26624 | Author: 赵博 | Hits:

[VHDL-FPGA-Verilogelectronic-lock

Description: electronic lock by C language and simulation file by proteus software. in this project by using a keypad and alphabetic lcd 2*16 which are attached to a 8051 micro controller, an electronik lock is implemented. first of all read the help file.
Platform: | Size: 31744 | Author: mehdi | Hits:

[ELanguagelock

Description: this program is an electronic lock by C language and use of microC compiler for PIC micro controllers. in addition the simulasion file for proteus is added.
Platform: | Size: 174080 | Author: mehdi | Hits:

[SCMlock.c

Description: pic16单片机开发的触摸式密码锁 (包含一个LOCK.H的头文件,建议阅读一下)-pic16 microcontroller development of the touch lock (LOCK.H header file contains a recommended read)
Platform: | Size: 3072 | Author: eric | Hits:

[SymbianDigitalfootmark-Lock-Screen-v0.18.4335-S60v3-S60v

Description: Digitalfootmark Lock Screen v0.18.4335 S60v3 S60v5 Symbian^3 Signed
Platform: | Size: 393216 | Author: nikhil | Hits:

[SCMtrick-lock

Description: 基于单片机和数码管的电子锁,可输入和重置密码-Microcontroller based electronic lock and digital control, you can enter and reset the password
Platform: | Size: 1024 | Author: cc123fire | Hits:

[Technology ManagementPLL-phase-lock-loop-application

Description: 锁相环PLL原理与应用,锁相环PLL原理与应用-PLL phase lock loop principle and application
Platform: | Size: 232448 | Author: | Hits:

[USB developlocked

Description: VB6 Sourse code to lock your program or pc by any usb device
Platform: | Size: 70656 | Author: jasem | Hits:

[JSP/Javalock

Description: 修改后的锁屏程序,间接阻止win+d、alt+f4,限于java的非底层性,alt+ctrl+del还无法屏蔽-The modified lock-screen process, indirectly prevent win+ d, alt+ f4, limited to java, alt+ ctrl+ del can not shield
Platform: | Size: 304128 | Author: 终曲 | Hits:

[Process-ThreadLock

Description: 用于多线程下同步的锁,多个线程互斥的情况下,基于临界区的,同步方式。 -Synchronize multiple threads for the lock, multiple threads mutually exclusive case, based on critical areas, and synchronization
Platform: | Size: 1024 | Author: 黎伟 | Hits:

[SCMlock

Description: 密码锁 EDA实验硬件验证:复位后,二极管显示AB输出为1011,配合时钟,在DIN输入11100101后,AB段输出为1010,硬件测试成功。-EDA lock hardware verification experiment: After reset, the output diode display AB 1011, with the clock, after the DIN input 11,100,101, AB output section 1010, the hardware test is successful.
Platform: | Size: 1024 | Author: denwei0011 | Hits:

[Hook apiLock-Computer

Description: 一个给电脑加锁的程序,调用了 KBHook.dll 全局键盘钩子,程序用繁体VB6编写,里面含 instgb5 在VB IDE环境中转换的工具. 这个工具我用了很多年,ctrl配合方向键可以简繁体转换..具体看右下角的图标的帮助. 一个台湾朋友写的.. 真的非常好用.. -允许输入拉丁字符的拼音 请键入文字或网站地址,或者上传文档。 取消 Yīgè gěi diànnǎo jiā suǒ de chéngxù, diàoyòngle KBHook.Dll quánjú jiànpán gōuzi, chéngxù yòng fántǐ VB6 biānxiě, lǐmiàn hán instgb5 zài VB IDE huánjìng zhōng zhuǎnhuàn de gōngjù. Zhège gōngjù wǒ yòngle hěnduō nián,ctrl pèihé fāngxiàng jiàn kěyǐ jiǎn fántǐ Zhuǎnhuàn.. Jùtǐ kàn yòu xiàjiǎo de túbiāo dì bāngzhù. Yīgè táiwān péngyǒu xiě de.. Zhēn de fēicháng hǎo yòng.. 将中文译成英语 中文(简体) 英语 日语 To lock a computer program, called KBHook.dll global keyboard hook, the program written in Traditional VB6, which contain instgb5 in the VB IDE environment conversion tool, this tool I use for many years, ctrl with the arrow keys can be simplified and traditional conversion .. the lower right corner of the icon to see specific help to write a Taiwanese friend .. really nice ..
Platform: | Size: 97280 | Author: 曉風 | Hits:

[Delphi VCLDelphi-programming-U-disk-lock

Description: 2009年 第11月 第80页 稿件名称:Delphi 编程实现U盘锁 稿件作者:李贤强 源代码名称:源代码 -November 2009, the first manuscript page 80 name: Delphi programming U-disk lock manuscript Author: Li Xianjiang source code name: the source code
Platform: | Size: 335872 | Author: wst | Hits:

[matlabmatlab-combination-lock

Description: matlab 密码锁的实现 matlab 实现的加密,希望对大家有用啊 -The realization of the matlab combination lock matlab encryption, hope of the useful ah
Platform: | Size: 387072 | Author: liyifan | Hits:

[VHDL-FPGA-Verilogelectronic-lock-and-VHDL-design

Description: 基于Max+Plus II和VHDL的电子密码锁设计-Based on Max+ Plus II electronic lock and VHDL design
Platform: | Size: 1024 | Author: 于春秀 | Hits:

[JSP/JavaLock

Description: TTASLock, CLHLock和MSCLock的实现。Lock implementation是java多线程中保证原子性的重要方法之一。本程序实现了3种常用lock,每个文件中都包含了lock, unlock, islock方法。-TTASLock, CLHLock and MSCLock implementation. Lock implementation is multi-threaded java guarantee atomicity in one important way. This program implements three common lock, each file contains a lock, unlock, islock method.
Platform: | Size: 3072 | Author: Pengcheng Li | Hits:

[VHDL-FPGA-VerilogVHDL-Lock

Description: EDA的课程设计资料,欢迎大家下载。 基于VHDL的电子密码锁的设计-EDA design data of course, welcome to download. VHDL-based design of an electronic lock
Platform: | Size: 5120 | Author: wangwenhao | Hits:
« 1 2 ... 10 11 12 13 14 1516 17 18 19 20 ... 50 »

CodeBus www.codebus.net