Welcome![Sign In][Sign Up]
Location:
Search - ieee bus

Search list

[Linux-Unixlibraw1394-1.2.1.tar

Description: libraw1394 is the only supported interface to the kernel side raw1394 of the Linux IEEE-1394 subsystem, which provides direct access to the connected 1394 buses to user space. Through libraw1394/raw1394, applications can directly send to and receive from other nodes without requiring a kernel driver for the protocol in question. -libraw1394 is the only supported interfac e to the kernel side raw1394 of the Linux IEEE-13 94 subsystem. which provides direct access to the connected one 394 buses to user space. Through libraw1394/ra w1394. applications can directly send to and receive f rom other nodes without requiring a kernel driv er for the protocol in question.
Platform: | Size: 382976 | Author: song wm | Hits:

[File FormatIEEE14

Description: 14 bus system in power network of I-14 bus system in power network of IEEE
Platform: | Size: 1024 | Author: Rushahidi | Hits:

[ApplicationsIEEEdata

Description: IEEE节点系统大全,包括IEEE3节点系统到300节点系统的详细数据,对测试电力系统有非常大的作用-IEEE bus system Daquan, including IEEE3 node system to 300-node system of detailed data, the test power system has a very big role
Platform: | Size: 2397184 | Author: kjh | Hits:

[Other005bus

Description: ieee 5节点程序电力系统的分析和计算中,需要不同网络结构和参数。在应 用这些参数之前,收集和整理工作会花费大量的时间和精力。-ieee 5 node procedure and calculation of power system analysis, the need for different network structures and parameters. Before applying these parameters, the collection and collation will spend a lot of time and effort.
Platform: | Size: 80896 | Author: liulei | Hits:

[SCM39_bus

Description: IEEE 39 bus data, line data and generator data for performing the power flow or load flow and optimal power flows
Platform: | Size: 5120 | Author: ram | Hits:

[matlabmatlab-programs

Description: 几乎所有IEEE节点系统的MATLAB潮流程序-IEEE bus system almost all the current procedures for MATLAB
Platform: | Size: 1263616 | Author: sally | Hits:

[matlabchaoliu-matlabIEEE-30-bus-system

Description: 牛拉法潮流matlab仿真算例IEEE 30-bus system-Rafah, the trend of cattle matlab simulation example IEEE 30-bus system
Platform: | Size: 9216 | Author: 过羿 | Hits:

[Industry researchieee-bus-datas

Description: bus data for ieee 5, 9, 14, 30
Platform: | Size: 812032 | Author: santhosh kumar | Hits:

[Windows DevelopIEEE-bus-feeder-saSharp

Description: IEEE bus feeder 34 model for PSCAD SOFTWARE-IEEE bus feeder 34 model for PSCAD SOFTWARE....
Platform: | Size: 12288 | Author: xxxddd | Hits:

[simulation modelingIEEE-5-30-39 bus system

Description: IEEE-5-30-39节点潮流计算程序,有正确的结果!(IEEE-5-30-39 node power flow calculation program, the correct results)
Platform: | Size: 5120 | Author: 考研之路 | Hits:

[matlab39 BUS SYSTEM

Description: The IEEE 39-bus system has 10 generators and 46 lines.
Platform: | Size: 652288 | Author: ananya | Hits:

[Software EngineeringPSCAD5

Description: ieee 30 bus,ieee 39 bus,ieee 118 bus,statcom,induction generator
Platform: | Size: 87040 | Author: civil | Hits:

[matlabIEEE 30 bus system data

Description: IEEE 30 BUS SYSTEM Comparisons between stochastic and deterministic Unit Commitment solutions are provided. The generation of Unit Commitment solution is guaranteed by DEEPSO, which is a hybrid DE-EA-PSO algorithm, where DE stands for Differential Evolution, EA for Evolutionary Algorithms and PSO for Particle Swarm Optimization. For the calculation of the optimal economic dispatch an algorithm based on the Benders Decomposition, combining the Dual Dynamic Programming, was developed. Results show that the stochastic approach leads to more ro
Platform: | Size: 133120 | Author: therealneel7 | Hits:

[OtherIEEE_15

Description: 15 ieee bus system simulink
Platform: | Size: 52224 | Author: engahmedz@yahoo.com | Hits:

[OtherIEEE 118 Bus_modified

Description: IEEE 118 Bus System - DIgSILENT
Platform: | Size: 1185792 | Author: erikm0895 | Hits:

[File FormatIeee 9 bus system data pdf - Google Docs

Description: How to draw IEEE 9 bus system on PSCAD
Platform: | Size: 171008 | Author: qasim787 | Hits:

[Energy industrywscc 3 machin-9 bus

Description: 9bus ieee data simulink model zip file
Platform: | Size: 18432 | Author: sky178 | Hits:

[OtherIEEE 118 bus system

Description: IEEE 118 bus system designed in PSCAD
Platform: | Size: 263168 | Author: engr.abs | Hits:

[matlabbus1

Description: ieee 14 bus simulation
Platform: | Size: 33792 | Author: desai | Hits:

[OtherIEEE 14 bus

Description: Powerworld IEEE 14 bus .pwb Powerworld IEEE 14 bus .pwd
Platform: | Size: 8192 | Author: frkydn09 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net