Welcome![Sign In][Sign Up]
Location:
Search - fpga spi interface verilog

Search list

[Other resourceSPI_verilogHDL

Description: 本原码是基于Verilog HDL语言编写的,实现了SPI接口设计,可以应用于FPGA,实现SPI协议的接口设计.在MAXII编译成功,用Modelsim SE 6仿真成功.-primitive code is based on Verilog HDL language, and achieving the SPI interface design, FPGA can be used to achieve agreement SPI interface design. MAXII success in the compiler, Modelsim SE with six successful simulation.
Platform: | Size: 1731 | Author: jevidyang | Hits:

[VHDL-FPGA-VerilogSPI_verilogHDL

Description: 本原码是基于Verilog HDL语言编写的,实现了SPI接口设计,可以应用于FPGA,实现SPI协议的接口设计.在MAXII编译成功,用Modelsim SE 6仿真成功.-primitive code is based on Verilog HDL language, and achieving the SPI interface design, FPGA can be used to achieve agreement SPI interface design. MAXII success in the compiler, Modelsim SE with six successful simulation.
Platform: | Size: 1024 | Author: jevidyang | Hits:

[VHDL-FPGA-Verilogxilinx_iic_spi

Description: xlinx官方的iic和spi接口的描述-IIC and xlinx official description of spi interface
Platform: | Size: 1765376 | Author: 杨子树 | Hits:

[VHDL-FPGA-Verilogconfig_dac

Description: Verilog实现 spi接口的FPGA实现 通过仿真,修改后即可应用-Verilog realize spi interface FPGA to achieve through the simulation, the application can be modified
Platform: | Size: 274432 | Author: 强冰 | Hits:

[VHDL-FPGA-VerilogSPI_verilog_mycode

Description: 基于Verilog HDL的SPI代码,可在FPGA上实现SPI接口,请大家参考-Verilog HDL based on the SPI code, implementation in FPGA on SPI interface, please refer to
Platform: | Size: 1024 | Author: treefan.liang | Hits:

[VHDL-FPGA-Verilogad_converter

Description: 基于spi接口的数模转换控制(FPGA verilog源码)-Spi interface-based digital-analog conversion control (FPGA Verilog source code)
Platform: | Size: 3330048 | Author: guotao | Hits:

[Software EngineeringSPI_VERILOG

Description: SPI串行总线接口的Verilog实现.pdf 通过FPGA实现-The Verilog implementation of the SPI serial bus interface. Pdf FPGA implementation
Platform: | Size: 240640 | Author: 青蛙 | Hits:

[Otherzhu

Description: 基于FPGA的SPI接口设计,主模式,频率可调,verilog HDL编写-FPGA-based SPI interface design, master mode, adjustable frequency, verilog HDL prepared
Platform: | Size: 3072 | Author: 毛毛 | Hits:

[Com Portspi_cbb

Description: 基于FPGA设计,verilog语言变成的,SPI通用接口模块,顶层已封装成类似标准的FIFO接口;提供仿真文件;仿真器为modelsim10.0c,波形观察debussy。-Based on the FPGA design, Verilog language into a, SPI universal interface module, the top has been packaged into a FIFO interface similar to that of the standard provide simulation files simulator for modelsim10.0c, waveform observation debussy.
Platform: | Size: 553984 | Author: Zou Xingyu | Hits:

[VHDL-FPGA-VerilogMCU2FPGA_SPI_TB

Description: 本程序使用Verilog语言实现了SPI接口的设计,可以直接烧到FPGA实现与MCU的通信,自带有测试文件。-The program uses the Verilog language design SPI interface, you can burn directly communicate with the FPGA, MCU, comes with a test file.
Platform: | Size: 4096 | Author: | Hits:

[VHDL-FPGA-Verilogen.SPI_EEPROM_Verilog_models_V10

Description: spi接口的eeprom模型,型号为st公司m65pxx(The eeprom model of spi interface is st company m65pxx)
Platform: | Size: 63488 | Author: a2530036128 | Hits:

[VHDL-FPGA-VerilogAT25160B

Description: 该代码完成存储器的数据存储和读取功能,该芯片是一款Atmel的SPI接口的EEPROM存储芯片。(The code completes the memory data storage and reading function, the chip is a Atmel SPI interface EEPROM memory chip.)
Platform: | Size: 6144 | Author: leixiaoming | Hits:

[VHDL-FPGA-Verilogspi_master

Description: SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。? CPU芯片与FPGA通过SPI接口进行通信。? 其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(The read and write erasure commands of the serial flash communicate through the SPI interface. The CPU chip communicates with the FPGA through the SPI interface. Other functional integrated circuit chip parameters register configuration. For example,there are many registers in the DAC chip (because the chip has many functions. We need to configure it by setting up different registers to open or close the corresponding functions, and initializing registers on the battery. FPGA also reads the data by configuring the chip, and configures the SRAM inside the FPGA. FPGA is a serial FLASH read the data inside,read check after all the configuration to the FPGA SRAM to). The speed is faster than the serial port, and it is synchronous transmission.)
Platform: | Size: 3389440 | Author: 小云子 | Hits:

[Otheradc_interface-master

Description: ADC_Interface Simple SPI interface for AD7908/AD7918/AD7928 written in verilog HDL
Platform: | Size: 27648 | Author: 醉小楼 | Hits:

CodeBus www.codebus.net