Welcome![Sign In][Sign Up]
Location:
Search - fpga lvds

Search list

[VHDL-FPGA-Verilog基于FPGA的软件CDR

Description: 用FPGA实现CDR,可用于LVDS串化解串,ALTERA原厂工程,实用!
Platform: | Size: 1525570 | Author: arcui1984 | Hits:

[VHDL-FPGA-Verilogdiff_io_top

Description: LVDS的应用的Verilog HDL例子程序,由altera公司提供。-LVDS Application of Verilog HDL examples of procedures provided by the altera.
Platform: | Size: 527360 | Author: wangyunshann | Hits:

[Special Effectsxapp485

Description: XILINX公司关于平板显示"LVDS接收"的参考设计,已经过验证非常成熟,用于使用FPGA来做图象增强,Gamma校正,动态背光控制等的设计! -XILINX company on the flat panel display
Platform: | Size: 2361344 | Author: Ray Luo | Hits:

[OtherPCI_User_Manual

Description: The Cyclone® III PCI development board provides a hardware platform for developing and prototyping low-power, high-performance, logic-intensive PCI-based designs. The board provides a high-density of the memory to facilitate the design and development of FPGA designs which need huge memory storage, and also includes Low-Voltage Differential Signaling (LVDS) interface of the High-Speed Terasic Connectors (HSTCs) for extra high-speed interface application.-The Cyclone ? III PCI development board provides a hardware platform for developing andprototyping low-power, high-performance, logic-intensive PCI-based designs. The board provides ahigh-density of the memory to facilitate the design and development of FPGA designs which needhuge memory storage, and also includes Low-Voltage Differential Signaling (LVDS) interface ofthe High-Speed Terasic Connectors (HSTCs) for extra high-speed interface application.
Platform: | Size: 2132992 | Author: 张治国 | Hits:

[VHDL-FPGA-Verilogio_lvds

Description: xilinx LVDS接口程序,xilinx LVDS接口程序-xilinx LVDS interface program,xilinx LVDS interface program
Platform: | Size: 124928 | Author: s | Hits:

[VHDL-FPGA-Verilogfpga_designing_lvds_communication

Description: 开发 fpga LVDS的通信文档,pdf格式。应该对你的设计有帮助-fpga design lvds,pdf format, you can study thids
Platform: | Size: 263168 | Author: max | Hits:

[VHDL-FPGA-VerilogLVDS_Serdes_list_FPGA1

Description: FPGA之间的LVDS传输,采用serdes接口,传输速率达到400m-LVDS transmission between the FPGA using serdes interface, transfer rate up to 400m
Platform: | Size: 14338048 | Author: linpingping | Hits:

[VHDL-FPGA-VerilogLVDS_DDR_List_FPGA2

Description: FPGA芯片与ADI公司的AD9779之间的通信,总共有四个通道,68对LVDS,采样时钟是122.88MHz-FPGA chips ADI' s AD9779 and communication between, a total of four channels, 68 pairs of LVDS, the sampling clock is 122.88MHz
Platform: | Size: 808960 | Author: linpingping | Hits:

[3G developlinkport

Description: td通信系统中FPGA与DSP之间使用linkport(LVDS)传输的源代码,包括源代码和实际速率测试报告-TD-SCDMA,linkport,lvds
Platform: | Size: 364544 | Author: andi | Hits:

[VHDL-FPGA-Veriloglvds

Description: 这是一个LVDS程序源文件,经过仿真正确。-this a LVDS source programme.
Platform: | Size: 4096 | Author: yuedongxu | Hits:

[Software Engineeringxapp265

Description: High-Speed Data Serialization and Deserialization(840 Mb/s LVDS) for xilinx fpga
Platform: | Size: 363520 | Author: jegaldong | Hits:

[VHDL-FPGA-VerilogLVDS

Description: 高速串行差分接口(HSDI)设计实例,用QUARTUS和利用FPGA实现LVDS的方法。-High-speed serial differential interfaces (HSDI) design example implementation using FPGA LVDS QUARTUS and use of the method.
Platform: | Size: 323584 | Author: 天一生水 | Hits:

[VHDL-FPGA-Verilogpaper_FPGA

Description: 基于FPGA控制的高速固态存储器设计,对固态存储器进行了需求分析, 根据航天工程对高速固态存储器的需求, 确定了设计方案。 针对航天工程对高速固态存储器速率要求较高的特点, 在逻辑设计方面采用流水线技术、并行总线技术。在器件选择方面, 采用LVDS构成接口电路, FPGA构成控制逻辑电路电路, SDRAM芯片阵列构成存储电路。设计了高速固态存储器。该设计简化了硬件电路, 大大提高了存储数据的速率。-FPGA-based control design of high speed solid state memory, solid state memory of the needs analysis carried out, according to aerospace engineering demand for high-speed solid-state memory, set design. Aerospace engineering for high-speed solid-state memory features require a higher rate, in the logic design using pipelining, parallel bus technology. In the device selection, the use of LVDS interface circuit composition, FPGA control logic circuit form, SDRAM chips constitute a memory circuit array. Design of high speed solid state memory. The design simplifies the hardware circuit, greatly increased the rate of stored data.
Platform: | Size: 262144 | Author: lyh | Hits:

[VHDL-FPGA-Veriloglvpecl_connect_lvds

Description: 在FPGA间实现LVDS和LVPECL互联时的用法,比如如何做匹配网络-Achieved in the FPGA LVDS and LVPECL interconnection between the time of usage, such as how to do the matching network
Platform: | Size: 260096 | Author: wangke | Hits:

[VHDL-FPGA-VerilogDesign-lvds-fpga

Description: 】针对数据传输系统速度、距离和稳定性等要求的不断提高,提出了一种基于低振幅差分信号技术(LVDS,Low Voltage Differential Signaling)的长距离高速串行数据传输系统。该系统结合LVDS技术速度快、抗干扰性强、功耗低的 特点以及光纤通信容量大、传输距离远的特点,采用光纤来传输LVDS 信号,解决了数据传输系统遇到的这些难题。对数据传 输系统的设计分别从设计方案、硬件实现两方面进行了详细研究和描述,并解决了数据在传输过程中遇到的采集速度、LVDS 传输速度、光纤通信速度和USB传输速度不匹配的问题。-Design of Long-distance High-speed Serial Data Transmission System based on LVDS
Platform: | Size: 874496 | Author: xing | Hits:

[VHDL-FPGA-Verilogmylvds3

Description:
Platform: | Size: 17505280 | Author: wrong | Hits:

[VHDL-FPGA-Verilogaltlvds_DesignExample

Description: 用FPGA实现LVDS的调用,其中包括仿真和源代码。-Using FPGA LVDS
Platform: | Size: 207872 | Author: haifeng | Hits:

[Program docCPCI-LVDS

Description: 基于FPGA的CPCI和LVDS接口技术及应用,很好的书籍资料,值得学习-CPCI and based on FPGA LVDS interface technology and application, good information books, it is worth learning
Platform: | Size: 312320 | Author: 吕攀攀 | Hits:

[VHDL-FPGA-Verilogxapp585

Description: LVDS并行数据传输,来自XILINX官网(LVDS Parallel Data Transfer)
Platform: | Size: 504832 | Author: lichen28 | Hits:

[Video CaptureLvds_Receiver

Description: 基于FPGA实现1080p的LVDS 7:1接收程序(Implementation of 1080p LVDS 7:1 receiving program based on FPGA)
Platform: | Size: 2048 | Author: anzhi2020 | Hits:
« 12 3 »

CodeBus www.codebus.net