Welcome![Sign In][Sign Up]
Location:
Search - error correction verilog

Search list

[Other resourcers_decoder_31_19_6.tar

Description: Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1 Generator polynomial, g(x) = a^15 a^21*X + a^6*X^2 + a^15*X^3 + a^25*X^4 + a^17*X^5 + a^18*X^6 + a^30*X^7 + a^20*X^8 + a^23*X^9 + a^27*X^10 + a^24*X^11 + X^12. Note: a = alpha, primitive element in GF(2^5) and a^i is root of g(x) for i = 19, 20, ..., 30. Uses Verilog description with synthesizable RTL modelling. Consists of 5 main blocks: SC (Syndrome Computation), KES (Key Equation Solver), CSEE (Chien Search and Error Evaluator), Controller and FIFO Register. -Hard-decision decoding scheme Codeword l KV (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents five bit. Uses GF (2 ^ 5) with primitive polynomial p (x) = x ^ x ^ 5 2 1 Ge nerator polynomial. g (x) = a ^ a ^ 15 * 21 ^ 6 a X * X ^ a ^ 15 2 * X ^ a ^ 3 25 * X ^ a ^ 4 17 5 * X ^ a ^ 18 ^ 6 X * a * X 30 ^ 7 ^ a ^ 20 * X ^ a ^ 23 8 * X ^ a ^ 9 * 27 X 10 ^ a ^ 24 * 11 ^ X ^ X 12. Note : a = alpha, primitive element in GF (2 ^ 5) and a ^ i is the root of g (x) for i = 19, 20, ..., 30. Uses Verilog description with synthesizab le RTL modeling. Consists of five main blocks : SC (Syndrome Computation), KES (Key Equation Solver). CSEE (Chien Search and Error Evaluator) Controller and FIFO Register.
Platform: | Size: 14247 | Author: 孟轲敏 | Hits:

[VHDL-FPGA-Verilogrs_decoder_31_19_6.tar

Description: Hard-decision decoding scheme Codeword length (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents 5 bit. Uses GF(2^5) with primitive polynomial p(x) = X^5 X^2 + 1 Generator polynomial, g(x) = a^15 a^21*X + a^6*X^2 + a^15*X^3 + a^25*X^4 + a^17*X^5 + a^18*X^6 + a^30*X^7 + a^20*X^8 + a^23*X^9 + a^27*X^10 + a^24*X^11 + X^12. Note: a = alpha, primitive element in GF(2^5) and a^i is root of g(x) for i = 19, 20, ..., 30. Uses Verilog description with synthesizable RTL modelling. Consists of 5 main blocks: SC (Syndrome Computation), KES (Key Equation Solver), CSEE (Chien Search and Error Evaluator), Controller and FIFO Register. -Hard-decision decoding scheme Codeword l KV (n) : 31 symbols. Message length (k) : 19 symbols. Error correction capability (t) : 6 symbols One symbol represents five bit. Uses GF (2 ^ 5) with primitive polynomial p (x) = x ^ x ^ 5 2 1 Ge nerator polynomial. g (x) = a ^ a ^ 15* 21 ^ 6 a X* X ^ a ^ 15 2* X ^ a ^ 3 25* X ^ a ^ 4 17 5* X ^ a ^ 18 ^ 6 X* a* X 30 ^ 7 ^ a ^ 20* X ^ a ^ 23 8* X ^ a ^ 9* 27 X 10 ^ a ^ 24* 11 ^ X ^ X 12. Note : a = alpha, primitive element in GF (2 ^ 5) and a ^ i is the root of g (x) for i = 19, 20, ..., 30. Uses Verilog description with synthesizab le RTL modeling. Consists of five main blocks : SC (Syndrome Computation), KES (Key Equation Solver). CSEE (Chien Search and Error Evaluator) Controller and FIFO Register.
Platform: | Size: 14336 | Author: 许茹芸 | Hits:

[Other Embeded programECC_program

Description: ecc 算法实现和纠错 1。nand_trans_result函数是实现ecc的行校验 2。nand_calculate_ecc函数是实现ecc的列校验 3。nand_correct_data函数实现1bit纠错-ecc algorithm and error-correcting one. nand_trans_result function is to achieve parity 2 rows ecc. ecc realize nand_calculate_ecc function is checking out the 3. 1bit error correction function nand_correct_data realize
Platform: | Size: 1024 | Author: czhwin99 | Hits:

[Windows DevelopBCH_Decoder72

Description: BCH代码,采用VHDL实现,能够实现纠错,带有波形。-BCH code, the use of VHDL implementation, be able to achieve error correction with waveform.
Platform: | Size: 206848 | Author: daisy | Hits:

[Communicationldpc_c_code

Description: LDPC码在基于BP (Belief Propagation) 的迭代译码相结合的条件下具有逼近Shannon 限的性能,是继turbo 码后在纠错编码领域又一重大进展。压缩文件中给出了LDPC在高斯信道下的c程序。-LDPC codes based on BP (Belief Propagation) Iterative Decoding of combining conditions with performance approaching Shannon limit on the heels of turbo code error correction coding in another area of significant progress. Compressed file give the LDPC at Gaussian channel c under the procedure.
Platform: | Size: 7168 | Author: aiguixia | Hits:

[VHDL-FPGA-Verilogass1_2_hamming

Description: Hamming codes are a class of binary linear codes. They can detect up to two simultaneous bit errors, and correct single-bit errors. In particular, a single-error-correcting and double error detecting variant commonly referred to SECDED.-a) Develop a Verilog module that will generate a 7-bit encoded data from a 4-bit data. Simulate your design for two inputs. Use even or odd parity according to the least significant figure of your ID number. b) Develop a Veriog module for generating pseudorandom 4-bit data using Linear Feedback Shift Register( LFSR) method. c) Develop a Verilog module to emulate one bit error in the data transmission. This can be done by changing only one of the encoded bits at each clock cycle. You may use a ring-counter and XOr gates for doing this. This arrangement will insert error in consecutive bits at each clock cycle. d) Design a Hamming error detection and correction circuit to restore the original data. e) Compare the original data with the restored data to verify the error correction capability of your design. If the two data sets are equal an OK signal will be set.
Platform: | Size: 1133568 | Author: wei chenghao | Hits:

[VHDL-FPGA-Veriloghamming_encodeadecode

Description: 用Verilog语言编写的对m序列进行汉明码编译码的程序。具体实现为产生m序列后对其进行(7,4)汉明码编码并加错,然后将其纠错译码并输出,详细过程见仿真。-Written by Verilog m sequence of procedures for coding and decoding Hamming codes. Concrete realization of m sequence to produce its (7,4) hamming code and a mistake, and then error correction decoding and output, see the detailed process simulation.
Platform: | Size: 308224 | Author: 周杰奏 | Hits:

[VHDL-FPGA-Verilogm_sequence

Description: 用verilog语言描述了M序列(伪随机通信)的编码、解码、纠错等功能,本人通过了Quartus II 以及Modelsim的仿真。-Verilog language description of the M sequence (pseudo-random communication) encoding, decoding, error correction, I passed the Quartus II and Modelsim simulation.
Platform: | Size: 6144 | Author: 周青晖 | Hits:

[Crack Hackc499

Description: sec code 电路,用于纠错检错和错误恢复的功能,verilog描述。-sec code circuit, for correction of error detection and error recovery functions, verilog description.
Platform: | Size: 2048 | Author: netshell | Hits:

[OtherLow-Error-and-Hardware-Efficient-Fixed-Width-Mult

Description: VERILOG Code for IEEE Paper Low-Error and Hardware-Efficient Fixed-Width Multiplier by Using the Dual-Group Minor Input Correction Vector to Lower Input Correction Vector Compensation Error Run by ModelSim 6.2 software Here paper output and modified paper output can be provided. Phase-1 folder consists of paper output High speed msb multiplication. In phase-2 folder consists of slight change before the multiplication process check the if the multiplication result will give msb or not , if it s possible continue multiplication process otherwise zero can be put on the result.
Platform: | Size: 783360 | Author: anandg | Hits:

[AlgorithmReed_Solomon

Description: 这个verilog代码的例子实现的是某种情况下的错误的纠正 error correction的功能-Examples of this verilog code error correction is implemented in the case of some error correction function
Platform: | Size: 3458048 | Author: 丁子 | Hits:

[VHDL-FPGA-Verilogverilog-juanjima

Description: 卷积码是一种重要的前向纠错信道编码方式,其纠错性能常常优于分组码,且(2,1,7)卷积码已应用于现代卫星通信系统中。Viterbi译码算法能最大限度地发挥卷积码的优异性能。这里采用Verilog  HDL语言设计出(2,1,7)卷积码的编码器模块和基于Viterbi算法的译码器模块,译码器采用全并行结构,译码速度快-Convolutional code is an important forward error correction channel coding method, and its error correction performance is often better than the block code, and (2,1,7) convolutional code has been used in modern satellite communication system. Viterbi decoding algorithm can maximize the performance of convolutional codes. Here is the Verilog HDL design (2,1,7) convolutional code encoder module and decoder module based on Viterbi algorithm, the decoder is designed using the parallel structure and the decoding speed is fast.
Platform: | Size: 10240 | Author: 邓博于、 | Hits:

[VHDL-FPGA-Verilogbch_dec_enc_dcd

Description: 关于BCH的编码器和译码器,可实现16位,32位,64位,128位的编码和译码纠错,2位纠错,Verilog实现-On the BCH encoder and decoder, can achieve 16-bit, 32-bit, 64-bit, 128-bit encoding and decoding error correction, 2-bit error correction, Verilog implementation
Platform: | Size: 1096704 | Author: 小小 | Hits:

[VHDL-FPGA-VerilogEDAC

Description: Error Detection and Correction
Platform: | Size: 6851584 | Author: Tan Nguyen | Hits:

[VHDL-FPGA-VerilogECC

Description: 基于汉明码的ECC纠错算法,可纠错1位,供参考(An ECC error correction algorithm based on hamming code can be used for reference)
Platform: | Size: 1024 | Author: 一粒尘埃 | Hits:

[VHDL-FPGA-Verilog2bit_ecc

Description: 基于BCH码的ECC纠错算法,可纠错2位错误码,供参考(Based on BCH code ECC error correction algorithm, two error codes can be corrected for reference.)
Platform: | Size: 24576 | Author: 一粒尘埃 | Hits:

CodeBus www.codebus.net