Welcome![Sign In][Sign Up]
Location:
Search - dds sawtooth

Search list

[Other resourceDDS_generator

Description: DDS锯齿波发生器: 开发平台:maxplus+FPGA 功能: 输出X路扫屏锯齿波。频率可用键盘精确控制,设置多个挡位;可水平移动波形;-DDS sawtooth generator : Development Platform : maxplus + FPGA functions : So output X Lu Ping Sawtooth. Keyboard can be used precision frequency control, multiple gear; Mobile waveform can level;
Platform: | Size: 853092 | Author: shiyj | Hits:

[VHDL-FPGA-VerilogDDS_generator

Description: DDS锯齿波发生器: 开发平台:maxplus+FPGA 功能: 输出X路扫屏锯齿波。频率可用键盘精确控制,设置多个挡位;可水平移动波形;-DDS sawtooth generator : Development Platform : maxplus+ FPGA functions : So output X Lu Ping Sawtooth. Keyboard can be used precision frequency control, multiple gear; Mobile waveform can level;
Platform: | Size: 852992 | Author: shiyj | Hits:

[VHDL-FPGA-VerilogDDS_all

Description: 这个是相当不错的EDA编程,是电子设计大赛准备期间我引以为自豪的一个,能产生正弦,余弦,方波(可调占空比),三角波,锯齿波以及各种叠加波形,可以自行设置。-The EDA is a very good programming, is the Electronic Design Competition during the preparation I was proud to one capable of producing sine, cosine, square wave (variable duty cycle), triangle wave, sawtooth wave and a variety of superimposed waveforms, can be设置.
Platform: | Size: 2234368 | Author: 谢飞 | Hits:

[DSP programAD9833

Description: 高精度可编程波形发生器程序,可以用DDS芯片AD9833产生20MHZ的方波,正弦波,锯齿波等-Procedures for high-precision programmable waveform generator can be used to DDS chip AD9833 produced 20Mhz square wave, sine wave, sawtooth wave, etc.
Platform: | Size: 48128 | Author: 曾小信 | Hits:

[VHDL-FPGA-Verilogdds_bate4[1].1

Description: 在quartus软件下用VHDL语言实现DDS,可产生正弦,余弦,方波,三角波以及锯齿波。-In the Quartus software using VHDL language realize DDS, can generate sine, cosine, square, triangle and sawtooth waves.
Platform: | Size: 3014656 | Author: 崔浩然 | Hits:

[SCMDDS

Description: 采用AD9851设计的一个产生50M 方波,正弦波,锯齿波,包括资源:C源程序代码,电路原理图,PCB, 绝好的项目开发资料。-AD9851 design using a square wave generated 50M, sine wave, sawtooth, including resources: C source code, circuit schematics, PCB, excellent information on the project development.
Platform: | Size: 62464 | Author: 涂龙 | Hits:

[VHDL-FPGA-VerilogDDS

Description: 这是一个任意频率的正弦信号发生器,具有可改变输出信号频率,输出信号相位,任意转换输出信号类型(正弦、余弦、锯齿波、方波),屏幕可分别显示用户设定的信号频率与输出信号检测频率。-This is an arbitrary frequency sinusoidal signal generator, with can change the output signal frequency, the output signal phase, arbitrary conversion output signal types (sine, cosine, sawtooth, square wave), the screen showed the user can set the signal frequency and Output signal detection frequency.
Platform: | Size: 1987584 | Author: 紫郢寒光 | Hits:

[VHDL-FPGA-VerilogDDS

Description: 多功能讯号发生器,产生方波,三角波,锯齿波-Multi-function signal generator to produce a square wave, triangle wave, sawtooth
Platform: | Size: 877568 | Author: | Hits:

[VHDL-FPGA-VerilogDDS

Description: 实现了基于FPGA的DDS信号源设计,能同时两路输出,输出波形包括正弦波、三角波、方波和锯齿波,且其频率和相位均可调,还能计算两路输出信号的相位差。-FPGA-based implementation of the DDS signal source design, two outputs simultaneously, the output waveforms including sine, triangle, square and sawtooth waves, and its frequency and phase can be adjusted, but also to calculate the phase difference between two output signals.
Platform: | Size: 9300992 | Author: huangyanzi | Hits:

[VHDL-FPGA-Verilogdds

Description: DDS数字函数信号发生器,采用VHDL编写,可以产生正弦波、锯齿波、三角波信号,信号的频率和相位都可调。-DDS Digital Function Generator using VHDL write, you can produce sine, sawtooth, triangle wave signal, the signal s frequency and phase are adjustable.
Platform: | Size: 1024 | Author: 郝心情 | Hits:

[VHDL-FPGA-Verilogdds813

Description: DDS波形发生器 正弦波 方波 锯齿波 调频 调相-DDS sawtooth waveform generator sine square wave frequency modulation phase modulation
Platform: | Size: 278528 | Author: 谢红奎 | Hits:

[VHDL-FPGA-Verilogdds

Description: dds数字信号发生器,实现1/4rom存储,正弦,余弦,三角波,锯齿波产生,AM调制-the dds digital signal generator, achieve 1/4rom store, generate sine, cosine, triangle wave, sawtooth, AM modulation
Platform: | Size: 783360 | Author: guizi | Hits:

[Otherdds

Description: 基于FPGA的数字信号发生器,通过直接数字合成法,产生方波、正玄波、锯齿波、三角波-FPGA-based digital signal generator, the direct digital synthesis to produce a square wave, sine wave, sawtooth, triangle wave
Platform: | Size: 2373632 | Author: bayanchao | Hits:

[OtherDDS-boxing

Description: 现编写能产生三种信号的DDS调制 三种信号分别为三角波 方波 锯齿波-Now prepared to produce three kinds of signals DDS three signals were modulated square wave triangle wave sawtooth
Platform: | Size: 3072 | Author: masss | Hits:

[CommunicationDDS

Description: 基于DDS原理,利用VHDL语言进行正弦波、三角波、锯齿波、矩形波等波形的发生。包括完整代码和QUARTUS II工程。-Based on DDS principle, the use of VHDL, sine, triangle, sawtooth, square wave waveform occurs. Including the complete code and QUARTUS II project.
Platform: | Size: 147456 | Author: chuangfen | Hits:

[VHDL-FPGA-VerilogDDS

Description: DDS信号生成模块,使用MATLAB产生查找表,可输出方波、三角波、锯齿波、正弦波-DDS signal generator module, using MATLAB to generate a lookup table can output square wave, triangle wave, sawtooth, sine
Platform: | Size: 8883200 | Author: 苏杭 | Hits:

[SCMDDS-Firmware

Description: aTmega16做的简易信号发生器,能够产生正弦波、方波、三角波、锯齿波、反向锯齿波、心电图、噪声,频率范围 0 ~ 65534Hz,还有一个高速输出口能输出1, 2, 4 ,8MHz信号 振幅0-10V,直流偏置范围-5V~5V,频率步进1, 10, 100, 1000, 10000Hz,能够保存设置。 电路由AVR最小系统、R-2R电阻网络组成的DAC电路、LM358放大电路、按键、LCD显示构成。 熔丝:HIGH = 0×59 LOW = 0xCF-aTmega16 do a simple signal generator can produce sine, square, triangle wave, sawtooth, reverse sawtooth, ECG, noise, frequency range 0 ~ 65534Hz, there is a high-speed output port can output 1, 2, 4, 8MHz signal amplitude 0-10V, DC bias range-5V ~ 5V, frequency step 1, 10, 100, 1000, 10000Hz, be able to save the settings. The minimum system circuit AVR, R-2R resistor network consisting of the DAC circuit, LM358 amplifier, key, LCD display composition. Fuse: HIGH = 059 LOW = 0xCF
Platform: | Size: 128000 | Author: 刘建华 | Hits:

[VHDL-FPGA-VerilogDDS

Description: 可以产生正弦波,三角波、锯齿波、方波,要求频率1Hz-100kHz,步进1Hz,具有自动扫频功能; 正弦波的相位可调,方波的占空比可调; -Can generate sine wave, triangle wave, sawtooth wave and square wave, the required frequency of 1 hz- 100 KHZ, step 1 hz, with functions of automatic frequency sweep The phase adjustable sine wave, square wave duty ratio is adjustable
Platform: | Size: 4448256 | Author: llx | Hits:

[VHDL-FPGA-VerilogDDS

Description: 基于FPGA的数字信号合成器(DDS),采用VHDL语言编写,能够实现正弦波、三角波、方波、锯齿波这四种波形的产生。 提示:最后输出的模块是串行DA,可根据具体情况更改驱动。-Digital synthesizer (DDS) based on FPGA, using VHDL language, to achieve sine wave, triangle wave, square wave, sawtooth waveform generation four. Tip: The last output module is a serial DA, may change depending on the driving situation.
Platform: | Size: 2800640 | Author: 康二栋 | Hits:

[VHDL-FPGA-Verilogdds

Description: 通过按键控制产生任意频率的方波,正弦波,三角波,锯齿波(Fang Bo, sine wave, triangle wave and sawtooth wave at any frequency are generated by key control)
Platform: | Size: 4139008 | Author: HUMBLE. | Hits:
« 12 3 »

CodeBus www.codebus.net