Welcome![Sign In][Sign Up]
Location:
Search - ddr xilinx

Search list

[VHDL-FPGA-VerilogXilinx spartan 6 DDR 测试源代码

Description: Xilinx FPGA Spartan 6 上可运行的软核microblaze以及外设DDR, SPI,UART等测试代码
Platform: | Size: 18476664 | Author: jameszhou9019 | Hits:

[VHDL-FPGA-Verilogddr_verilog_xilinx

Description: DDR(双速率)SDRAM控制器参考设计,xilinx提供-DDR (double data rate) SDRAM controller reference design for Xilinx
Platform: | Size: 131072 | Author: 陈旭 | Hits:

[VHDL-FPGA-Verilogddr_verilog_xilinx

Description: 该程序是在xilinx的FPGA上实现DDR_SDRAM接口,程序是用verylog语言写的-that the procedure was in Xilinx FPGA to achieve DDR_SDRAM interface, procedures used to write the language verylog
Platform: | Size: 23552 | Author: 冯伟 | Hits:

[VHDL-FPGA-Verilogxapp935

Description: ddr2 controller, verilog source code from xilinx
Platform: | Size: 347136 | Author: Hubert | Hits:

[OS Developjsjktbg1_mydown0315

Description: xilinx ddr controler
Platform: | Size: 11264 | Author: lanse | Hits:

[VHDL-FPGA-Verilogcntl_ddr3(xilinx)

Description: xilinx ddr3最新VHDL代码,通过调试-xilinx ddr3 latest VHDL code through debugging
Platform: | Size: 101376 | Author: zhang chi | Hits:

[SCMDDR_SDRAM_DesignSummarize

Description: 基于Xilinx Spartan系列开发板的DDR SDRAM设计方案及经验总结!-Based on the Xilinx Spartan family of development boards and the DDR SDRAM design experience!
Platform: | Size: 338944 | Author: 曾娟丽 | Hits:

[VHDL-FPGA-VerilogXil3S500E_Serial_Flash_v81

Description: 这是一个利用xilinx的macroblaze将用户程序由flash读取至ddr内存的例程,关键是bootloader的写法。-This is a use of Xilinx macroblaze the user program will read from flash memory to ddr routine, the key is the wording of bootloader.
Platform: | Size: 1334272 | Author: weichengguanzhe | Hits:

[VHDL-FPGA-Verilog03.EDK8.2

Description: 使用xilinx virtex4芯片,设计环境为EDK,其中包含uart,片外sram操作,flash操作,DDR SDRAM操作,MAC自发自收,audio,video等试验-Xilinx virtex4 use chip design environment for the EDK, which contains the uart, chip sram operation, flash operation, DDR SDRAM operation, MAC spontaneous self-admission, audio, video and other tests
Platform: | Size: 22821888 | Author: 肖姗姗 | Hits:

[VHDL-FPGA-Verilogddr_verilog_xilinx

Description: xilinx的ddr sdram控制器文档-xilinx of ddr sdram controller documentation
Platform: | Size: 678912 | Author: liujie | Hits:

[VHDL-FPGA-Verilogc_xapp260

Description: xilinx应用指南xapp260的中文翻译版本。利用 Xilinx FPGA 和存储器接口生成器简化存储器接口。本白皮书讨论各种存储器接口控制器设计所面临的挑战和 Xilinx 的解决方案,同时也说明如何使用 Xilinx软件工具和经过硬件验证的参考设计来为您自己的应用(从低成本的 DDR SDRAM 应用到像 667 Mb/sDDR2 SDRAM 这样的更高性能接口)设计完整的存储器接口解决方案。-The use of Xilinx FPGA and Memory Interface Generator to simplify memory interface. This white paper discusses the various memory interface controller design challenges facing Warfare and Xilinx solutions, but also explains how to use Xilinx Software tools and hardware-proven reference designs to be for your own With (from low-cost DDR SDRAM applications to such as 667 Mb/s This higher performance DDR2 SDRAM interface) design a complete deposit Storage device interface solution.
Platform: | Size: 1123328 | Author: 陈阳 | Hits:

[VHDL-FPGA-Verilogc_xapp858

Description: 这是xilinx应用指南xapp858的中文版本。本应用指南介绍了用于实现高性能 DDR2 SDRAM 接口的控制器和数据采集技术。本数据采集技术使用了每一个 Virtex™ -5 I/O 都具有的输入串行器/ 解串器 (ISERDES) 和输出双倍数据速率 (ODDR) 的功能。-This is the xilinx application note xapp858 the Chinese version. This application note describes the interface used to achieve high-performance DDR2 SDRAM controller and data acquisition technology. This data collection technique used in each Virtex ™ -5 I/O has an input serializer/deserializer (ISERDES) and output double data rate (ODDR) function.
Platform: | Size: 447488 | Author: 陈阳 | Hits:

[VHDL-FPGA-Verilogc_xapp851

Description: 这是xilinx应用指南xapp851的中文版本。本应用指南描述了在 Virtex™ -5 器件中实现的 200 MHz DDR SDRAM (JEDEC DDR400 (PC3200) 标准)控制器。本设计实现使用 IDELAY 单元调整读数据时序。读数据时序校准和调整在此控制器中完成。-This is the xilinx application note xapp851 the Chinese version. This application note describes the Virtex ™ -5 devices to achieve 200 MHz DDR SDRAM (JEDEC DDR400 (PC3200) standard) controller. The Design and Implementation of the use of IDELAY unit to adjust read data timing. Reading the data calibration and adjust the timing for completion of this controller.
Platform: | Size: 408576 | Author: 陈阳 | Hits:

[VHDL-FPGA-Verilogddr_verilog_xilinx

Description: xilinx公司原版的DDR时序控制源码.-xilinx' s original source code of the DDR timing control.
Platform: | Size: 680960 | Author: suyufeng | Hits:

[VHDL-FPGA-Verilogspartan6_hdl

Description: Xilinx Spartan6 library reference.
Platform: | Size: 1727488 | Author: Chris | Hits:

[VHDL-FPGA-Verilogddr_sdr_V1_1

Description: DDR控制器 - 用XILINX Virtex II FPGA实现 - 使用DDR MT46V16M16作为仿真模型 - 通用化-DR SDRAM Controller Core - has been designed for use in XILINX Virtex II FPGAs - works with DDR SDRAM Device MT46V16M16 without changes - may be easily adapted to any other DDR SDRAM device
Platform: | Size: 37888 | Author: jordanliang | Hits:

[VHDL-FPGA-Verilogml505_mig_design

Description: Xilinx开发板ML505的DDRII示例程序,使用Verilog,调用MIG,编译环境ISE11.1-Xilinx ML505 development board of DDRII sample program, using Verilog, called MIG, build environment ISE11.1
Platform: | Size: 9332736 | Author: 黑羽·X | Hits:

[VHDL-FPGA-VerilogXAPP200_ddr_sdram_64b

Description: Xapp 200 64 bit DDR SDRAM design files for Xilinx Vertix
Platform: | Size: 1626112 | Author: jc | Hits:

[VHDL-FPGA-Verilogddr_100Mhz_2011.03.12

Description: 这个工程是用xilinx的MIG生成的对于spartan 3E的实验板的ddr的控制器,我已经能够在上面修改之后加入自己的思想,包括两个dcm的模块。-This project is the MIG generated by xilinx spartan 3E development board for the ddr controller, I have been able to modify the above by adding his own ideas, including the two dcm module.
Platform: | Size: 6132736 | Author: 张元甲 | Hits:

[Other02Kintex修炼秘籍-MIG DDR应用3缓存设计

Description: vivado下的MIG教程,适用于XILINX 7系列FPGA(MIG tutorial under vivado.)
Platform: | Size: 4861952 | Author: 城北的D1B | Hits:
« 12 »

CodeBus www.codebus.net