Welcome![Sign In][Sign Up]
Location:
Search - ad9516

Search list

[VHDL-FPGA-VerilogAD9516

Description: 在雷达模拟系统中实现AD9516定时程序-AD9516
Platform: | Size: 21504 | Author: jianghuiqing | Hits:

[SCMAD9516reg_usart

Description: AD9516时钟芯片的时钟配置文件,基于SPI的。不同的时钟管脚有多不同的输出时钟。-The AD9516 clock chip clock configuration file, based on SPI. Different clock pins how different output clock.
Platform: | Size: 1024 | Author: 徐鲁花 | Hits:

[OtherAD9516VERILOG

Description: 通过VERILOG编写的AD9516时钟芯片SPI配置代码-CONGIGURE THE ad9516
Platform: | Size: 743424 | Author: 212 | Hits:

[Communication-MobileAD9516

Description: verilog语言实现AD9516控制功能,欢迎使用-verilog language the AD9516 control functions, Welcome
Platform: | Size: 324608 | Author: | Hits:

[source in ebookExampleCode_clock_AD9516

Description: 14路AD9516时钟芯片的单片机ADuc702配置程序。- 翻译 源语言: 中文 目标语言: 英语 中文 英语 德语 检测语言 14路AD9516时钟芯片的单片机ADuc702配置程序。 英语 中文(简体) 日语 14 Road AD9516 clock chip the microcontroller ADuc702 configuration program.
Platform: | Size: 103424 | Author: 樊孝明 | Hits:

[VHDL-FPGA-Verilogad9516

Description: 在FPGA上编写的通过SPI总线配置外部PLL芯片AD9516的程序,通过板级调试,验证可用。程序通过状态机实现,将需要配置的寄存器值转为SPI总线的数据格式发送出去。 -Configure external PLL chip AD9516 via SPI bus program on FPGA written by board-level debugging, verification is available. Program through the state machine, you will need to configure the register values 椠渀琀漀 SPI bus data format sent.
Platform: | Size: 3072 | Author: lszyx344 | Hits:

[Software EngineeringClock-Generator-ad9516

Description: Clock Generator ad9516
Platform: | Size: 1257472 | Author: alex | Hits:

[SCMAD9516_driver_by_STM32

Description: AD9516是ADI公司的产品,它有14路时钟输出,内部VCO高达2.2GHz,本代码是AD9516的STM32驱动,经验证好使,默认配置为第8路时钟输出270MHz。-AD9516 is a product of ADI which has 14-Output Clock Generator with Integrated 2.2 GHz VCO,this code is the driver of AD9516 ,use STM32.
Platform: | Size: 1024 | Author: 高正南 | Hits:

[VHDL-FPGA-VerilogControl_AD9516

Description: 时钟芯片AD9516配置代码,VHDL开发,可仿真验证-Development, clock chip AD9516 configuration code, VHDL simulation
Platform: | Size: 1024 | Author: 刘阳河 | Hits:

[MiddleWareAD9516_SPI_CONFIG

Description: AD9516 config, it is successful.-AD9516 config
Platform: | Size: 3072 | Author: 李磊 | Hits:

[VHDL-FPGA-Verilogad9516_peizhi

Description: 实现ad9516的配置(11/5000 Implement the configuration of ad9516 Implement the configuration of ad9516)
Platform: | Size: 1111040 | Author: 见你呢 | Hits:

[VHDL-FPGA-Verilogad9516-4

Description: ad9516-4芯片的初始化程序,可以方便对各内部寄存器的配置,达到对时钟的分频控制。(the code of ad9516-4 for initial,it can config the register of all through spi interface.)
Platform: | Size: 2048 | Author: preman | Hits:

CodeBus www.codebus.net