Welcome![Sign In][Sign Up]
Location:
Search - Verilog wave

Search list

[Embeded-SCM Developchengxing1

Description: 成型滤波器的verilog代码--Verilog source code for formatted wave filter.
Platform: | Size: 2048 | Author: | Hits:

[DSP program电子线路实验报告

Description: 设计一数字 频率计,其技术要求如下: (1) 测量频率范围:1Hz~100kHz。 (2) 准确度Dfx/fx£ ± 2%。 (3) 测量信号:方波,峰峰值为3V~5V。-design a figure frequency meter, the technical requirements are as follows : (a) measuring frequency range : 1Hz- 100kHz. (2) the accuracy Dfx/fxpound 2%. (3) Measurement of signal : square wave peak to peak for 3V to 5V.
Platform: | Size: 86016 | Author: | Hits:

[VHDL-FPGA-Verilog用modelsim仿真一个正弦波产生程序

Description: 用modelsim仿真一个正弦波产生程序-modelsim simulation using a sine wave generated procedures
Platform: | Size: 68608 | Author: 阿乐 | Hits:

[VHDL-FPGA-Verilog8bitsine

Description: 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
Platform: | Size: 5120 | Author: 王刚 | Hits:

[CommunicationDDS_VERILOG

Description: 本例给出了DDS的VERIOG的程序事例,可发生正弦\余弦等波形,适应与通信方面的硬件实现!-the cases presented DDS VERIOG procedures example, can occur sine \ cosine wave such as, Adaptation and communications hardware realization!
Platform: | Size: 3072 | Author: 陈榧 | Hits:

[VHDL-FPGA-VerilogDDS_Power

Description: FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table through direct digital frequency synthesis. In part through the control of the keyboard to choose sine, square, triangle wave, sloping wave, and four arbitrary waveform two superposed and the stack of four waveform; by controlling the frequency control word on the size, in order to control the output waveform frequency, 1 Hz to achieve the fine-tuning; Address transform through waveform phase adjustable 256; DAC0832 so through waveform amplitude adjustable 256; FPGA through internal RAM to the waveform storage intervals; and achieve a 100 per second sweep 9999.
Platform: | Size: 16384 | Author: 田世坤 | Hits:

[Embeded-SCM DevelopDDSforsinandcos

Description: 用VHDL实现的DDS,可输出正弦、余弦波形。将所有文件放在一个工程文件里,再分别生存模块,按原理图连接及可-using VHDL DDS, output sine, cosine wave. All documents will be placed on a project document, respectively survival module, according to diagram and can link
Platform: | Size: 7168 | Author: 何明均 | Hits:

[VHDL-FPGA-Verilogsinewave

Description: 6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形-6-channel sine wave generator, resulting in frequency, phase, amplitude of the sinusoidal waveform are adjustable
Platform: | Size: 1024 | Author: 桑武斌 | Hits:

[SCMFPGA--DDS-PhaseMeasure

Description: Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module can generate both frequency and phase difference can be preset to adjust the value of sine wave, frequency range of 20Hz-5MHz, phase range of 0 °-359 ° , measurement data and transmit them to the single-chip pin, single-chip microcomputer to calculate and display.
Platform: | Size: 1371136 | Author: haoren | Hits:

[VHDL-FPGA-VerilogDDS1

Description: DDS信号发生器,能产生多种波形,正玄波,三角波,方波,频率可调,相位可调-DDS signal generator, can produce a variety of waveforms, are mysterious wave, triangle wave, square wave, frequency tunable, phase adjustable
Platform: | Size: 1108992 | Author: 张俊 | Hits:

[SCMsignal

Description: verilog写的串口控制信号发生器,能通过用串口控制产生正弦波方波等信号-written in verilog serial control signal generator, can be generated using serial control, such as sine wave square wave signals
Platform: | Size: 5519360 | Author: ray | Hits:

[VHDL-FPGA-VerilogDDS-top

Description: 能够基于DDS实现输出正弦波形的一部分程序,利用Verilog HDL语言编写。-Able to achieve based on the DDS output sine wave-shaped part of the procedure, the use of Verilog HDL language.
Platform: | Size: 299008 | Author: evil | Hits:

[VHDL-FPGA-VerilogsWave

Description: 正弦波,Verilog波形发生器,很好的东西-Sine wave, Verilog waveform generator, a good thing
Platform: | Size: 1391616 | Author: yanppf | Hits:

[Graph Drawingdds(heli)

Description: DDS用verilog 实现,可以实现方波、正弦和三角-DDS using verilog realized, can be square wave, sinusoidal and triangular
Platform: | Size: 428032 | Author: qian | Hits:

[VHDL-FPGA-Verilogdds_final

Description: 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjustable modulation. DA-chip 8-bit parallel, 160MHz
Platform: | Size: 1638400 | Author: nostalgia | Hits:

[Other2psk

Description: 2psk 模块的Verilog实现 (载波为方波)-2psk Verilog module implementation (carrier for the square wave)
Platform: | Size: 3613696 | Author: fqzxw | Hits:

[VHDL-FPGA-VerilogVerilog-hdlFPGA

Description: 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classic procedure
Platform: | Size: 1181696 | Author: chenfeihu | Hits:

[VHDL-FPGA-VerilogMATLAB-and-verilog

Description: 1 采用正弦波,方波进行同步调制,实现调制信号、已调信号、解调信号的波形、频谱以及解调器输入输出信噪比的关系。 2 采用Verilog语言编写有符号的五位乘法器 3 实现数字与模拟调制-A sine wave, square wave synchronous modulation to achieve the modulation signal, the modulated signal, the demodulated signal waveform, spectrum and signal to noise ratio of the demodulator input and output relationship. 2 using Verilog language has signed five digital and analog multiplier 3 modulation
Platform: | Size: 559104 | Author: 许学真 | Hits:

[VHDL-FPGA-Verilogahdl--sine-wave-code-with-rom-look-up-table_imp.r

Description: hi this an verilog codes-hi this is an verilog codes
Platform: | Size: 8192 | Author: praha | Hits:

[Documents三角函数的Verilog HDL语言实现

Description: 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine wave by natural sampling, realize the adjustable dead time using Verilog HDL language of the SPWM digital algorithm and digital SPWM algorithm is realized in Fushion StartKit development board.)
Platform: | Size: 148480 | Author: 所罗门 | Hits:
« 12 3 4 5 6 7 8 »

CodeBus www.codebus.net