Welcome![Sign In][Sign Up]
Location:
Search - Verilog sensor

Search list

[Embeded-SCM DevelopCCD_DRIVER

Description: verilog HDL语言,线性CCD1501D驱动程序,基于FPGA,其他线性传感器可参照修改。-verilog HDL language, linear CCD1501D driver, based on the FPGA, the other linear sensor can be modified by reference.
Platform: | Size: 293888 | Author: liuyuetian | Hits:

[VHDL-FPGA-VerilogIIC_AD75

Description: I2C温度传感器ADT75的控制源码 使用verilog 状态机实现 易入门-I2C for ADT75 temperature sensor
Platform: | Size: 3072 | Author: 王欢 | Hits:

[Embeded-SCM DevelopPICRoboconCode

Description: C source code for PIC microcontroller to controll input/output of PIC Robocon board. Read IR Sensor LCD control Button DC motor
Platform: | Size: 23552 | Author: Nguyen Chi Linh | Hits:

[SCM18B20LCD1602temperaturedisplayexperiment

Description: LCD1602液晶显示屏显示18B20温度传感器数据实验-LCD1602 LCD display shows the temperature sensor 18B20 data experiments
Platform: | Size: 2048 | Author: aquan0705 | Hits:

[Software EngineeringVerilogHDL_tuxiang

Description: 介绍一种用于卫星姿态测量的CMOS图像敏感器--STAR250的时序驱动信号,并使用Verilog HDL语言设计驱动时序电路。经布线、仿真、测试后验证了驱动信号的正确性。 -Introduce a measurement for the satellite attitude CMOS image sensor- STAR250 timing drive signals, and use the Verilog HDL language design-driven sequential circuits. After routing, simulation, testing verified the correctness of the drive signal.
Platform: | Size: 106496 | Author: zhaox | Hits:

[VHDL-FPGA-VerilogDHT22_v1.1

Description: 我以前曾发过V1.0版的,这是此版的修正版v1.1,修正了以前版本中的一个错误,即只能读一个数据后就再也读不出温度数据的错误。 这个是用Quartus II软件写的Verilog HDL语言写的与温湿度传感器DHT2x通信的代码. 里面有详细的注解. 主要用于DHT2x单线总线通信转换为8位并行总线通信,应用于具有外部8位总线访问功能的单片机直接读取温湿度数据. 此程序在EPM7128SLC-10中成功测试. -I' ve once spoke V1.0 version, which is a modified version of this edition v1.1, fixes a bug in previous versions, that is no longer only after reading a data temperature data could not be read errors. This is done using Quartus II software, written in Verilog HDL language written with the temperature and humidity sensor DHT2x communications code. Which detailed footnotes. DHT2x mainly used for single-wire bus communication is converted to 8-bit parallel bus communication, used with an external 8-bit bus MCU read directly access features temperature and humidity data. EPM7128SLC-10 in this program successfully tested.
Platform: | Size: 1094656 | Author: yuantielei | Hits:

[DSP programCORDIC-360degree-sensor

Description: 用cordic算法实现360度角度传感器设计的实例,可以很好的理解CORDIC算法-Cordic algorithm with 360-degree angle sensor instance, a good understanding of CORDIC algorithm can be
Platform: | Size: 266240 | Author: 陈胜 | Hits:

[Software EngineeringFPGAdeguangshanjiancejishu

Description: 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design, using Verilog HDL description of segments and zero phase-locked harmonic signal processing circuit. Grating displacement system using FPGA and host computer interface circuit block diagram
Platform: | Size: 1329152 | Author: 于小微 | Hits:

[VHDL-FPGA-VerilogimageSample

Description: 这是一个图象传感器的数据采集程序,用Verilog语言编写,经测试通过,改程序可以作为图像数据采集参考,缩短开发时间。-This is an image sensor data collection procedures, with the Verilog language, has been tested and approved to change the image data acquisition procedure can be used as reference, to shorten development time.
Platform: | Size: 17408 | Author: 张俊 | Hits:

[VHDL-FPGA-VerilogDE1_D5M_LTM

Description: verilog new code for image sensor ov7660 version code
Platform: | Size: 198656 | Author: King of Madurai | Hits:

[VHDL-FPGA-VerilogDS1820

Description: DS18B20温度传感器,用verilog语言实现-DS18B20 temperature sensor, with the verilog language
Platform: | Size: 2048 | Author: qifo | Hits:

[VHDL-FPGA-Verilogzigbee_sensor

Description: ZigBee无线模块实验.rar;基于FPGA-2C35核心;博创实验箱平台。 在quartusII里面添加uart核,利用串口与主控制机相通信,获取从控制机上传感器的的温度、湿度、光敏电阻、热敏电阻等信息(其中主控制机与从控制机是通过zigbee协议通信) -ZigBee wireless module experiment rar core on the FPGA-2C35 Borch experimental box platform. Add uart nuclear quartusII inside, using the serial port with the main control machine communication, access to the sensor from the control on the temperature, humidity, photoresistors, thermistors, and other information (including the main control machine from the control machine by zigbee protocol communications)
Platform: | Size: 1388544 | Author: | Hits:

[VHDL-FPGA-Verilogds18b20_319

Description: 用verilog实现ds18b20_319温度传感器实验,检测环境温度,通过数码管显示出来-Verilog achieve ds18b20_319 temperature sensor experiments, testing the ambient temperature by digital display
Platform: | Size: 986112 | Author: 蒋亮 | Hits:

[Software EngineeringtrafficLight_editedversion

Description: Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main highway. Thus, the main highway signal remains green by default. Occasionally, cars from the country road arrive at the traffic light signal. The traffic signal for the country road must turn green only long enough to let the cars on the country road go. As soon as there are no cars on the country road, the country road traffic signal turns yellow and then red and the traffic signal on the main highway turns green again. There is a sensor to detect cars waiting on the country road. The sensor sends a signal X as input to the controller. X=1 if there are cars on the country road otherwise, X=0. There are delays on transitions of states (Delay of Red & Green lights is longer than Yellow light).-Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main highway. Thus, the main highway signal remains green by default. Occasionally, cars from the country road arrive at the traffic light signal. The traffic signal for the country road must turn green only long enough to let the cars on the country road go. As soon as there are no cars on the country road, the country road traffic signal turns yellow and then red and the traffic signal on the main highway turns green again. There is a sensor to detect cars waiting on the country road. The sensor sends a signal X as input to the controller. X=1 if there are cars on the country road otherwise, X=0. There are delays on transitions of states (Delay of Red & Green lights is longer than Yellow light).
Platform: | Size: 1024 | Author: awerjiop | Hits:

[Software EngineeringCPLD_18b20_uart

Description: 温度传感器采集数据给cpld,然后由串口上传到上位机;编程语言是verilog;-Temperature sensor collected data to the the cpld, then uploaded to the host computer by serial programming language verilog
Platform: | Size: 494592 | Author: 房贷 | Hits:

[VHDL-FPGA-Verilogsource_file

Description: 图像传感器数字控制模块,verilog编写,内涵ADC接口,FPGA验证通过。-image sensor digital controller module
Platform: | Size: 14336 | Author: 引文 | Hits:

[VHDL-FPGA-Verilogverilog

Description: 本文档设计了1光栅位移传感器信号的接收、光栅位移传感器信号的整形及电平转换电路设计,用Verilog HDL描述了锁相倍频细分和零位信号处理电路。利用FPGA实现光栅位移系统与上位机接口的电路原理框图-This document designed a grating displacement sensor signal reception, grating displacement sensor signal shaping and level conversion circuit design, using Verilog HDL description of segments and zero phase-locked harmonic signal processing circuit. Grating displacement system using FPGA and host computer interface circuit block diagram
Platform: | Size: 108544 | Author: 吴汉 | Hits:

[VHDL-FPGA-Verilogdesign-IR-Verilog

Description: IR传感器使用Verilog语言编程,平台实在FPGA Cycle 4上实现(IR sensor using Verilog programming language, the platform is really FPGA Cycle 4 implementation)
Platform: | Size: 10062848 | Author: 神通广大 | Hits:

[VHDL-FPGA-Verilogtemper_test

Description: 通过Verilog实现用DS18B20温度传感器用MAX7219驱动8个数码管显示。(MAX7219驱动程序也在里面)。实验用EP4CE22F17芯片实现。(Through Verilog realize with DS18B20 temperature sensor, drive 8 digital tube display with MAX7219. (the MAX7219 driver is also inside). Experiment with EP4CE22F17 chip.)
Platform: | Size: 4236288 | Author: y1a2n3g4 | Hits:

[Embeded-SCM Developproject_zy

Description: 超声波测距程序 适用传感器HC-SR04(The application of sensor HC-SR04 for ultrasonic range finder)
Platform: | Size: 1572864 | Author: nbnm | Hits:
« 12 3 »

CodeBus www.codebus.net