Welcome![Sign In][Sign Up]
Location:
Search - Verilog MSK

Search list

[Other resource2400verilog

Description: 我刚完成的基于Quartus Two 开发环境全部用Verilog硬件描述语言编译无误的MSK调制解调器
Platform: | Size: 27789 | Author: lgl | Hits:

[Other resourcemsk_top

Description: msk的verilog程序 利用FPGA实现
Platform: | Size: 866 | Author: ouyang | Hits:

[Other Embeded program2400verilog

Description: 我刚完成的基于Quartus Two 开发环境全部用Verilog硬件描述语言编译无误的MSK调制解调器-I have just completed development environment based on the Quartus Two full use Verilog hardware description language compiler unmistakable MSK modem
Platform: | Size: 27648 | Author: lgl | Hits:

[VHDL-FPGA-Verilogmsk_top

Description: msk的verilog程序 利用FPGA实现-MSK procedures for the use of Verilog FPGA realize
Platform: | Size: 1024 | Author: ouyang | Hits:

[Communication-Mobilefsk

Description: 数字通信中的FSK调制解调的原理和过程, 通过用Matlab 对这一过程的编程,分析信号在理想信道和加噪信道中传输时的时域图, 并用蒙特卡罗算法进行仿真。-Digital communications in FSK modulation and demodulation principle and process, through the use of Matlab in the process of programming, analysis of signals in the ideal channel transmission channel noise and processing time-domain diagram, and Monte Carlo simulation algorithm.
Platform: | Size: 3072 | Author: 李飞 | Hits:

[Otherbit_synch

Description: 本人写的MSK解调位同步完整程序,基于QuartusII90环境,采用verilog语言编写,程序简练,可靠性高,而且暂用资源少,适合CPLD器件。文件包含仿真和说明,欢迎下载!-I write a complete program MSK demodulation bit synchronization, based on QuartusII90 environment, using verilog language, procedures, concise, high reliability, and the temporary use, fewer resources for CPLD devices. File contains the simulation and instructions, please download!
Platform: | Size: 320512 | Author: Kerwin | Hits:

[assembly languageMSK

Description: 用VERILOG编写的MSK调制模块的程序代码 简单易懂-MSK modulation with a VERILOG module written in easy to understand code
Platform: | Size: 2013184 | Author: 龙兰飞 | Hits:

[VHDL-FPGA-Verilogmsk

Description: msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
Platform: | Size: 1024 | Author: 李博 | Hits:

[VHDL-FPGA-Verilogmsk

Description: fsk调制的verilog源代码,对于学习通信和微电子的人应该会有帮助-fsk verilog source code modulation, communications and microelectronics for the study should be helpful people
Platform: | Size: 2048 | Author: 李博 | Hits:

[MPIMSK-Verilog

Description: mak调制的fpga实现程序,基于fpga的vl文件,很好用,实用-mak modulation of fpga implementation procedures based fpga vl file, useful, practical
Platform: | Size: 6144 | Author: 高凡 | Hits:

[OtherDS_GMSK_MD

Description: 文件由两部分组成,直扩GMSK调制的matlab仿真程序和Verilog硬件程序。完成了对信号的扩频编码,GMSK调制,硬件程序中的高斯滤波器调用自matlab仿真产生。包括ds_gold_gmsk.m,mseq31.m,zhikuoGMSK.m以及d_encode.v,code_convert.v,frame.v,DS.v,gauss_filter.v,DS_GMSK_MD.v等编码,组帧,滤波,扩频,调制众多源码-Document consists of two parts, DSSS MSK modulation matlab simulation program and Verilog hardware programs. It completed a spreading-coded signal, GMSK modulation, hardware program Gaussian filter called matlab simulation produced. Including ds_gold_gmsk.m, mseq31.m, zhikuoGMSK.m and d_encode.v, code_convert.v, frame.v, DS.v, gauss_filter.v, DS_GMSK_MD.v and other coding, framing, filtering, spreading, many modulation source
Platform: | Size: 5750784 | Author: 陈陈 | Hits:

[VHDL-FPGA-Verilogmsk_modulation

Description: 用verilog硬件描述语言写的msk调制程序,可以拿来参考一下-With verilog hardware description language to write msk modulation process, you can refer
Platform: | Size: 1024 | Author: yangdong | Hits:

[VHDL-FPGA-VerilogMSK_top

Description: 基于verilog的MSK调制的程序,调试通过,有需要可以下载来参考 -Based on the MSK modulation verilog program, debugging through, there is a need to reference download
Platform: | Size: 5120 | Author: yangdong | Hits:

[Communication-MobileFpgaMskDemod

Description: 能够实现MSK的解调,语言为verilog(MSK demodulation can be achieved, and the language is Verilog.)
Platform: | Size: 21826560 | Author: 亚东 | Hits:

[Communication-MobileFpgaMskDemod

Description: 一种能实现msk的解调,语言为verilog(One can achieve MSK demodulation, the language is Verilog)
Platform: | Size: 8644608 | Author: 亚东 | Hits:

[VHDL-FPGA-VerilogFpgaMskMod

Description: 基于verilog编写的MSK调制程序,modsim仿真波形正确(Verilog based MSK modulation program written, modsim simulation waveform correct)
Platform: | Size: 1084416 | Author: 坏小伙 | Hits:

[VHDL-FPGA-VerilogFpgaMskDemod

Description: 基于verilog编写的MSK解调FPGA代码,modsim仿真正确(MSK demodulation FPGA code based on Verilog, modsim simulation is correct)
Platform: | Size: 7603200 | Author: 坏小伙 | Hits:

CodeBus www.codebus.net