Welcome![Sign In][Sign Up]
Location:
Search - VHDL Code of QPSK

Search list

[Communication-MobileDDS

Description: DDS的VHDL源代码,是数字QPSK调制解调中的重要组成部分。-DDS of the VHDL source code, the number of QPSK modulation and demodulation is an important part.
Platform: | Size: 3072 | Author: | Hits:

[Graph programxapp208

Description: xilinx 基于查找表方法实现的IDCT的verilog源码-Xilinx LUT-based method to achieve the IDCT of the Verilog source code
Platform: | Size: 8192 | Author: lee | Hits:

[source in ebookQPSK

Description: System generator code for BPSK_1 implementation. Pls enjoy it
Platform: | Size: 12288 | Author: phuc | Hits:

[ELanguageQPSK_modulator_demodulator

Description: Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to local hard disk, modify the property is writable, then in the ISE environment, new construction, and then add the appropriate source files.
Platform: | Size: 1024 | Author: 松松 | Hits:

[VHDL-FPGA-VerilogQPSK

Description: qpsk调制解调的VHDL源代码,已调试成功,可放心使用。-qpsk modulation and demodulation of the VHDL source code ,which has been debugged and can be freely used.
Platform: | Size: 1024 | Author: simulin_2008 | Hits:

[VHDL-FPGA-Verilogc8

Description: QPSK 调制 与 解调的源代码 可综合 出波形-QPSK modulation and demodulation of the source code
Platform: | Size: 2048 | Author: 回海生 | Hits:

[MPIQPSK

Description: QPSK调制的VHDL源代码,大家在使用的时候就可以方便的调用了-VHDL source code of QPSK modulation , you can conveniently call when using
Platform: | Size: 1024 | Author: wanghaun | Hits:

[Voice CompressVHDL

Description: 通信领域里的产生随机PN序列,QPSK调制解调的VHDL代码,适合通信领域的人士使用-Communication in the field of random PN sequence, QPSK modulation and demodulation of the VHDL code, those suitable for use in the field of communications
Platform: | Size: 2048 | Author: 岳雨豪 | Hits:

[Modem programQPSK

Description: In this case is a QPSK algorithm code for mapping the interleaved code, using VHDL language. This code provide the method of mapping the code by using QPSK algorithm. -In this case is a QPSK algorithm code for mapping the interleaved code, using VHDL language. This code provide the method of mapping the code by using QPSK algorithm.
Platform: | Size: 1024 | Author: kimdaeyoung | Hits:

[Program doc3

Description: document for vhdl code of qpsk
Platform: | Size: 88064 | Author: saeed92 | Hits:

CodeBus www.codebus.net