Welcome![Sign In][Sign Up]
Location:
Search - UP DOWN COUNTER

Search list

[Windows Developcounter

Description: 详细描述n比特计数器及RTL验证,计数器的位宽用generic语句设置为参数。MY_CNTR是一个n比特二进制的计数器,可以向上向下计数,并可设置计数值,计数器用异步的方式进行低电平复-A detailed description of n-bit counter and RTL verification, the bit counter is set to use generic parameters statement. MY_CNTR is an n-bit binary counter, counting down to up, and set of values, counters with asynchronous low-level approach to rehabilitation
Platform: | Size: 10240 | Author: chixiaobin | Hits:

[GIS programRegister

Description: -- Universal Register -- This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter. -- The register can be loaded from a set of parallel data inputs and the mode is controlled by a 3-bit input. -- The termcnt (terminal count) output goes high when the register contains zero. -- download from: www.fpga.com.cn & www.pld.com.cn--- Universal Register -- This design is a universal register which can be used as a straightforward storage register, a bi-directional shift register, an up counter and a down counter. -- The register can be loaded from a set of parallel data inputs and the mode is controlled by a 3-bit input. -- The termcnt (terminal count) output goes high when the register contains zero. -- download from: www.fpga.com.cn & www.pld.com.cn
Platform: | Size: 4096 | Author: djs | Hits:

[VHDL-FPGA-VerilogUpDownCounter

Description: an up down counter in verilog
Platform: | Size: 415744 | Author: ash | Hits:

[SCMUpDownConter

Description: an up down counter for AVR
Platform: | Size: 2048 | Author: Ehsan | Hits:

[Other Embeded programUP.DOWN.HEX.COUNTER

Description: Up down counter for microchip ASM code tested
Platform: | Size: 33792 | Author: mustafa | Hits:

[VHDL-FPGA-VerilogUpDownCounter

Description: 8-Bit Up Down Counter Verilog Code
Platform: | Size: 306176 | Author: gunkaragoz | Hits:

[VHDL-FPGA-Verilogup_down_counter

Description: 32 bit up/down counter with count enable based on altera fpga
Platform: | Size: 463872 | Author: abu_faisul | Hits:

[VHDL-FPGA-Verilogbcd_updown_counter2

Description: It is a simple 4-digit bcd up down counter written in verilog
Platform: | Size: 1024 | Author: jason | Hits:

[Software EngineeringTB_Example_for_Students

Description: test bench for up down counter
Platform: | Size: 31744 | Author: Daniel R. | Hits:

[Othercounter

Description: a program for the up down counter with clk setting so that it can be ported directly on to fpga nexsys board
Platform: | Size: 484352 | Author: VINAY KUMAR GAUR | Hits:

[Software Engineeringcounter

Description: Source code of a up/down counter in VHDL
Platform: | Size: 3072 | Author: flamestar | Hits:

[VHDL-FPGA-Verilog8bit_upDown_counter

Description: a simple 8 bit up/down counter, very handy and optimized
Platform: | Size: 2048 | Author: toon | Hits:

[VHDL-FPGA-Verilogasagi_yukari_sayici_entity

Description: vhdl up down counter, entity,vhdl, good source code
Platform: | Size: 1024 | Author: asme | Hits:

[Graph program3digitUpDown16f84

Description: 3 digit up down pic counter
Platform: | Size: 274432 | Author: sasa | Hits:

[VHDL-FPGA-Verilogup-down-counter

Description: up down counter by verilog
Platform: | Size: 673792 | Author: nedved | Hits:

[SCMup-down-counter

Description: BASCOM-AVR:递增递减计数器项目: 这是一个向上和向下计数器。这个项目包括两个红外传感器,该传感器连接到外部中断INT0和INT1。你可以使用任何感应器,甚至切换输入。-AVR Increment Decrement Counter Project: This is a up and down counter. this project consist of two infrared sensor that connected to Int0 and Int1 of External Interrupts. you can use any sensor or even switch for inputs.
Platform: | Size: 68608 | Author: lupo | Hits:

[Othercounter

Description: Up Down counter FPGA, VHDL
Platform: | Size: 550912 | Author: skawlsckd | Hits:

[Industry researchPIC16F877-Up-Down-Counter-Code

Description: Documents about up-down countor
Platform: | Size: 114688 | Author: ramu | Hits:

[VHDL-FPGA-Verilogverilog-lfsr-updown-counter

Description: Verilog 8 bit LFSR Up-Down Counter
Platform: | Size: 10240 | Author: cmags | Hits:

[OtherUp-down converter

Description: behavioural level program for up-down conter
Platform: | Size: 11264 | Author: prithi | Hits:
« 12 3 4 »

CodeBus www.codebus.net