Welcome![Sign In][Sign Up]
Location:
Search - QAM in VHDL

Search list

[source in ebookRSencode

Description: 包含RS(10,8)的verilog源程序,加法器的verilog源程序,卷积码的verilog源程序-Contains RS (10,8) of the Verilog source code, the Verilog source code adder, convolution of the Verilog source code
Platform: | Size: 1024 | Author: bai | Hits:

[Communication16QAMModulationVHDL

Description: 实现16QAM系统调制仿真,附件里面WORD文档是整合的程序,其他的是源文件-Realize 16QAM modulation system simulation, annex inside the WORD document is an integrated process, the other is the source file
Platform: | Size: 9216 | Author: 丁巍 | Hits:

[Program docFPGAImplementationof16QAMDemodulator

Description: 描述了一个用于微波传输设备的16QAM接收机解调芯片的FPGA实现,芯片集成了定时恢复、载波恢复和自适应盲判决反馈均衡器(DFE),采用恒模算法(CMA)作为均衡算法。芯片支持高达25M波特的符号速率,在一片EP1C12Q240C8(ALTERA)上实现,即将用于量产的微波传输设备中。 -Describes a microwave transmission equipment for 16QAM receiver demodulator chip FPGA realization of an integrated chip timing recovery, carrier recovery and blind adaptive decision feedback equalizer (DFE), using constant modulus algorithm (CMA) as the equalization algorithm. Chip supports up to 25M baud symbol rate, in the midst of EP1C12Q240C8 (ALTERA) achieved for the upcoming production of microwave transmission equipment.
Platform: | Size: 281600 | Author: 萝卜 | Hits:

[OtherQAM

Description: 实现QAM调制功能和QAM解调功能的代码.-Realize QAM modulation function and QAM demodulation function code.
Platform: | Size: 569344 | Author: fangyingjie | Hits:

[Communication16pam

Description: 用VERILOG语言实现16QAM的数字调制的程序,已经在ISE10.1版本中调试通过-Using Verilog language realize 16QAM digital modulation procedures are in the debug version ISE10.1 through
Platform: | Size: 7684096 | Author: 王莉 | Hits:

[Other1024QAM_FPGA

Description: 1024QAM调制解调系统的FPGA实现-1024QAM modulation and demodulation system FPGA to achieve
Platform: | Size: 202752 | Author: 黄忻杰 | Hits:

[VHDL-FPGA-VerilogQAM

Description: 以QAM系统设计为例——介绍在FPGA中实现DSP算法-QAM system as an example to the design- introduced in the realization of DSP algorithms in FPGA
Platform: | Size: 165888 | Author: 陈文诚 | Hits:

[source in ebookVHDL

Description: 16QAM调制器的Verilog HDL程序,可以实现16QAM调制-16QAM modulator Verilog HDL procedures, 16QAM modulation can be achieved
Platform: | Size: 1024 | Author: 吴丹 | Hits:

[VHDL-FPGA-Verilogofdm_quartus_v72

Description:
Platform: | Size: 657408 | Author: fancyou | Hits:

[Communication-Mobilecommunication

Description: QAM Radio in Matlab. Software defined radio with transmitter and receiver simulated in Matlab. 16-QAM.
Platform: | Size: 4180992 | Author: Jack | Hits:

[VHDL-FPGA-VerilogQAM16_Souce_code

Description: QAM 16 源代码,用于无线通信中或者广播中的调制。-QAM 16 source code, used in wireless communication or broadcasting.
Platform: | Size: 17408 | Author: panzhijian | Hits:

[VHDL-FPGA-VerilogQAM

Description: 16qam调制器的FPGA实现。使用Verilog实现全数字16-QAM调制器。-16qam Modulator FPGA. Use Verilog for full digital 16-QAM modulator.
Platform: | Size: 2048 | Author: 张维 | Hits:

[VHDL-FPGA-Verilog16QAM

Description: 16QAM调制与解调的Verilog语言的功能实现-the realization of 16QAM modulation and demodulation on Verilog language
Platform: | Size: 311296 | Author: 由佳彬 | Hits:

[VHDL-FPGA-Verilogofdmbaseband

Description: the OFDM PHY is adaptive therefore it supports multiple schemes BPSK, QPSK, 16-QAM and 64-QAM for data carriers’ modulation. The constellation diagrams are gray mapped and shows the magnitudes I and Q (In-phase and Quadrature) components of each incoming bit(s) combination along with their normalization factor C to calculate magnitude of each model
Platform: | Size: 1497088 | Author: san | Hits:

[VHDL-FPGA-Verilog4QAM-NR

Description: 这是基于2008国标DMB_TH中4QAM_NR映射的FPGA实现,其中包括映射表-This is based on the 2008 GB DMB_TH in 4QAM_NR mapped FPGA, including the mapping table
Platform: | Size: 587776 | Author: 庄敏敏 | Hits:

CodeBus www.codebus.net