Welcome![Sign In][Sign Up]
Location:
Search - PS2 for FPGA

Search list

[Other resourcePS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA
Platform: | Size: 27393 | Author: nanotalk | Hits:

[VHDL-FPGA-Verilogvhdl_vga_kb

Description: VHDL的显示驱动程序,VHDL的PS2键盘驱动程序-VHDL display drivers, VHDL PS2 Keyboard Driver
Platform: | Size: 3072 | Author: 张明凯 | Hits:

[VHDL-FPGA-VerilogPS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA-A PS2 IP CORE (VHDL) for FPGA
Platform: | Size: 26624 | Author: nanotalk | Hits:

[VHDL-FPGA-Verilogps2

Description: 用键盘控制FPGA,再由FPGA控制VGA显示器是好东西-Use the keyboard to control FPGA, and then by the FPGA to control VGA display is a good thing
Platform: | Size: 465920 | Author: 张俊 | Hits:

[VHDL-FPGA-Verilogps2rs232

Description: 利用FPGA控制PS2 ,很实用的,对初学者很有帮助-FPGA to control the use of PS2, is very practical and helpful for beginners
Platform: | Size: 1007616 | Author: 杜菲 | Hits:

[VHDL-FPGA-VerilogVGA_code

Description: VGA字符显示VHDL程序 可以直接用于工程的设计与开发-VGA display characters can be directly used for VHDL design and development
Platform: | Size: 174080 | Author: 毋杰 | Hits:

[VHDL-FPGA-VerilogPS2Fpga

Description: PS2开发源代码,取自于FPGA开发板,可直接应用于实际项目中-PS2 development of source code, derived from FPGA development board can be directly applied to actual projects
Platform: | Size: 610304 | Author: 王军 | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[Other1

Description: *先后调试了LED,按键,数码管的verilog程序,并在实验板上面调试成功!学习FPGA是一个漫长的过程,但是我必须得坚持!前途光明,道路崎岖! 这次在垃圾堆(我工作台下面的抽屉和柜子,呵呵)里面搜索了一个以前用C8051F020作的一个单片机 最小系统,其中有一个PS2的数字小键盘,于是马上鼓捣了一下,复习了一下PS2传输数据的规则,其实还是比较简单的,所以很快就把程序搞定,并在电路板上运行正常!!! -* Has a debug LED, button, digital control verilog procedures, and debugging in the experiment above board success! FPGA is a long learning process, but I have to insist! A bright future, roads and rugged! In the garbage (I workbench drawers and cabinets below, huh, huh) search inside a previously C8051F020 minimum for a single-chip systems, which have a small number of PS2 keyboard, then immediately鼓捣, then review the PS2 data about the rules, in fact, relatively simple procedures so they get very quickly, and in the normal operation of the circuit board! ! !
Platform: | Size: 5120 | Author: nyw | Hits:

[VHDL-FPGA-VerilogLibra_ps2key_lcd

Description: 用Verilog语言实现的PS2小键盘输入和1602 LCD显示的功能。无需修改,已经调试通过了。直接可以当成一个模块用于FPGA/CPLD系统开发过程。 这个代码是我在Libra环境下开发Actel FPGA时写的。-Verilog language using the PS2 keyboard and a small 1602 LCD display features. No changes have been adopted debugging. Directly as a module for the FPGA/CPLD system development process. This code is my development environment in Libra when written in Actel FPGA.
Platform: | Size: 6144 | Author: 赵二虎 | Hits:

[VHDL-FPGA-Verilogan-102104-keybrd

Description: PS2 FPGA INTERFACE, for newbie programers
Platform: | Size: 151552 | Author: lordmorph | Hits:

[VHDL-FPGA-Verilogmouse

Description: Source code PS2 mouse for Xilinx FPGA Spartan 3E.
Platform: | Size: 2048 | Author: sim210 | Hits:

[VHDL-FPGA-VerilogPS2

Description: 应用VHDL编写的基于FPGA的PS2键盘程序-Applications written in VHDL FPGA-based procedures for PS2 keyboard
Platform: | Size: 262144 | Author: 紫云 | Hits:

[VHDL-FPGA-VerilogMars_EP1C3_S_Core_V2.0

Description: 此包中为Mars_EP1C3_S_Core_V2.0 FPGA学习板中的接口实验代码.共包括10个实验源代码:7段数码管,i2c,KEYSCAN,MCU,PS2,UART,VGA,蜂鸣器,跑马灯和拨码开关. -This learning package for Mars_EP1C3_S_Core_V2.0 FPGA board interface test code. A total of 10 experiments, including source code: 7 segment digital tube, i2c, KEYSCAN, MCU, PS2, UART, VGA, buzzer, marquees and dial switch.
Platform: | Size: 2184192 | Author: wzh | Hits:

[VHDL-FPGA-VerilogDE2_115_PS2_DEMO

Description: DE2-115开发板ps2接口驱动测试源码,对fpga开发者提供参考-DE2-115 development board test ps2 interface driver source, provide a reference for fpga developer
Platform: | Size: 239616 | Author: 谢帅 | Hits:

[Software EngineeringSpecifiche-Mouse-PS2

Description: PS/2 Mouse Specifications (ITA): this pdf explains how to interface a standard PS/2 mouse to a custom logic system, for example a FPGA system described in VHDL.
Platform: | Size: 507904 | Author: Lorenzo | Hits:

[Software EngineeringKey2VGA

Description: PS2 Keyboard to VGA demo for FPGA
Platform: | Size: 3072 | Author: bmanjnk99 | Hits:

[Linux driverPS2

Description: 基于FPGA的ps2的实现演示及vhdl的源代码-FPGA-based realization of the source code for ps2
Platform: | Size: 2589696 | Author: 寓言 | Hits:

[Linux driverps2.tar

Description: Alter NIOSII Avalon bus ,PS/2 host controller with initialization code. -FPGA proven. This controller support hot plug. Please read reg_file.v for register setting.
Platform: | Size: 5120 | Author: charlie | Hits:

[VHDL-FPGA-Verilogps2

Description: 这是采用了verilog 语言编写的ps2,也就是键盘和FPGA交互的端口协议,适用于virtex5-This is used ps2 verilog language, which is the keyboard port protocol and interactive FPGA for virtex5
Platform: | Size: 2048 | Author: 梁卓君 | Hits:
« 12 »

CodeBus www.codebus.net