Welcome![Sign In][Sign Up]
Location:
Search - I2C EEPROM VHDL

Search list

[Other resourcewb_i2c_tb

Description: 模拟I2C EEPROM的VHDL代码。如常见的24c02等。
Platform: | Size: 8245 | Author: zif zhu | Hits:

[SCMMSP430_i2c

Description: 用C写的I2C总线EEPROM读写程序,在MSP430+AT24C64~512平台上验证通过。对其他处理器只需简单修改即可使用。-C write I2C EEPROM read and write procedures, the AT24C64 MSP430+ ~ 512 platform validation through. The only other processor can use a simple amendment.
Platform: | Size: 1024 | Author: join | Hits:

[VHDL-FPGA-VerilogCpldandEepromI2c

Description: verilog 编写的I2c协议程序,用于cpld读写EEPROM-verilog I2c agreement prepared by the procedures for cpld writable EEPROM
Platform: | Size: 447488 | Author: 丁明 | Hits:

[VHDL-FPGA-Verilogvhdl_i2c

Description: 7. IIC 接口EEPROM 存取实验 按动开发板键盘某个键CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-7. IIC EEPROM Access Interface Development Board experimental pressed a button keyboard CPLD code will go into the data switch E EPROM a certain address, pressed another button, just write the data back to reading CPLD, and the digital pipe show. To help readers master the I2C bus protocol and EEPROM read and write methods.
Platform: | Size: 419840 | Author: 赵海东 | Hits:

[VHDL-FPGA-Verilogwb_i2c_tb

Description: 模拟I2C EEPROM的VHDL代码。如常见的24c02等。-I2C EEPROM simulation of VHDL code. If common, such as 24C02.
Platform: | Size: 8192 | Author: zif zhu | Hits:

[VHDL-FPGA-VerilogEEPROM

Description: VHDL语言写的IIC实现EEPROM,很好的程序,已经用过,没有问题-Written in VHDL language IIC achieve EEPROM, good procedures are used, there is no problem
Platform: | Size: 1049600 | Author: 云川 | Hits:

[VHDL-FPGA-Verilogi2c

Description: IIC 接口EEPROM 存取实验(verilog实现) 按动开发板键盘某个键 CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-verilog
Platform: | Size: 63488 | Author: 梁旺 | Hits:

[Embeded-SCM DevelopAtmega128-TWI-2008

Description: To control EEPROM (for example AT24C16),This document introduce TWI communication with ATmega128.
Platform: | Size: 1080320 | Author: white | Hits:

[Communication-Mobilei2c

Description: i2c 一个基于 对 EEPROM 读写数据的数据接口-i2c interface
Platform: | Size: 19456 | Author: linhai | Hits:

[VHDL-FPGA-Verilogverilog

Description: 通过I2C接口读写EEPROM 在本项目中,我们利用Verilog HDL实现了部分I2C总线功能,并能够通过该总线对AT24C02进行读写操作。为了便于观察读写eeprom的结果,我们将读写的数据同时显示在七段数码管上,并设定读写的数据从0到255不断循环,这样就可以方便进行比较。 -Through the I2C interface to read and write EEPROM in this project, we use Verilog HDL to achieve some of the I2C bus function, and can be carried out through the bus, read and write operations on the AT24C02. To read and write eeprom in order to facilitate observation of the results, we will read and write data simultaneously displayed in the seven-segment digital tube, and set read and write data from 0 to 255 in cycles, so that can be easily compared.
Platform: | Size: 8192 | Author: andy | Hits:

[source in ebookI2C2402

Description: 用于EEPROM读写的I2C协议的实现, -I2C protocol for write and read EEPROM of 2401
Platform: | Size: 2048 | Author: lifeng | Hits:

[Com Porti2cBUS

Description: I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序(verilog hdl)介绍操作一个I2C总线接口的EEPROM AT24C02 的方法,使用户了解I2C总线协议和读写方法。-The I2C bus is a very common serial bus, it is simple, occupy less interface. This program (verilog HDL) introduced operating a AT24C02 EEPROM of I2C bus interface Methods, users understand the I2C bus protocols and reading methods.
Platform: | Size: 549888 | Author: lipuran | Hits:

[VHDL-FPGA-Verilogi2c

Description: 用VHDL写的I2C控制器,可以读写EEPROM,比较经典。-Written with VHDL I2C controller, you can read and write EEPROM, more classic.
Platform: | Size: 3072 | Author: wwww | Hits:

[VHDL-FPGA-Verilogi2c-eeprom-code

Description: inter integrated circuit eeprom
Platform: | Size: 100352 | Author: Ramanathan.SP. | Hits:

[SCMI2C_databus

Description: I2C总线是一种非常常用的串行总线,它操作简便,占用接口少。本程序介绍操作一个I2C总线接口的EEPROM AT24C02-I2C-bus is a very popular serial bus, it is simple, taking less interfaces. This procedure describes operation of a I2C bus interface EEPROM AT24C02
Platform: | Size: 477184 | Author: | Hits:

[VHDL-FPGA-VerilogI2C_EPM3128(v1.00)

Description: 本程序是使用 VHDL 语言开发的, 能够实现ALTERA CPLD-EPM3128A 通过I2C总线对EEPROM的读写。-This program is developed using VHDL language can of ALTERA CPLD-EPM3128A through the I2C bus EEPROM read and write.
Platform: | Size: 409600 | Author: cheng guanghui | Hits:

[VHDL-FPGA-VerilogAT24CXX

Description: 使用标准VHDL编写的I2C协议,用于AT24XX系列的EEPROM读写。-use VHDL language to implement IIC protocol, which is able to read or write eeprom.
Platform: | Size: 493568 | Author: 林铎 | Hits:

[VHDL-FPGA-Verilogi2c

Description: Program to access EEPROM using I2c VHDL
Platform: | Size: 29074432 | Author: ali | Hits:

[VHDL-FPGA-VerilogI2C_EPM3128

Description: EPM3128 与EEPROM的读写。EPM328用VHDL语言描述了I2C总线。-EPM3128 and EEPROM read and write. EPM328 uses VHDL language to describe I2C bus line.
Platform: | Size: 414720 | Author: tan | Hits:

CodeBus www.codebus.net